×

FPGA入门实验教程之进行LED跑马灯实验的详细资料说明

消耗积分:0 | 格式:rar | 大小:0.42 MB | 2019-05-24

分享资料个

  实验任务

  让实验板上的8个LED 实现跑马灯的功能。通过这个实验,进一步掌握采用计数与判断的方式来实现分频的Verilog HDL 的编程方法以及移位运算符的使用

  实验原理

  从LED到LED依次点亮,第二个灯点亮时第一个熄灭,每个灯交换的时间为0.5S;看上去的效果就象一个亮点从LED跑向LED,然后重复此循环,故命名跑马灯。初始状态时,LED[0]亮,其余熄灭。每来一个时钟脉冲CLK,

  计数器就加1。每当判断出计数器中的数值达到25000000时,就会点亮LED,同时LED[0]熄灭,并进行移位。这样,依次点亮所有的灯,就形成了跑马灯。而当LED点亮时,需要一个操作使得恢复为初始状态,即:LED亮,其余熄灭。然后,再进行一次跑马灯,重复此循环。如果是右移位,就出现向右跑马的现象;反之,向左跑马。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !