×

FPGA入门系列实验教程之如何进行按键消抖控制LED亮灭

消耗积分:0 | 格式:rar | 大小:0.42 MB | 2019-06-11

分享资料个

  1. 实验任务

  实现按键控制 LED 亮灭。通过这个实验,掌握采用 Verilog HDL 语言编程实现按键控制 LED 亮灭及按键消抖方法

  2. 实验环境

  硬件实验环境为艾米电子工作室型号 EP2C8Q208C8 增强版开发套件。软件实验环境为 Quartus II 8.1 开发软件。

  3. 实验原理

  当独立按键 key1 按下后,相应的 LED 被点亮;再次按下后,LED 做翻转输出,即 LED 熄灭,从而实现按键控制 LED 亮灭。本实验对按键进行了消抖处理。

  作为机械开关的按键,操作时,机械触点的弹性及电压突跳等原因,在触点闭合或开启的瞬间会出现电压抖动,实际应用中如果不进行处理将会造成误触发。按键去抖动的关键在于提取稳定的低电平状态,滤除前沿、后沿

  抖动毛刺。按键消抖处理一般有硬件和软件两种方法。软件消抖是检测到有触发后,延时一段时间后再检测触发状态,如果与之前检测到的状态相同,则认为有按键按下;如果没有则认为是误触发。硬件就是加去抖电路。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !