关于ASML EUV工艺的最新信息进展

描述

在上周的Semicon West上,ASML提供了有关当前EUV系统以及正在开发的0.55高NA系统的最新信息。

Anthony Yen是ASML的全球技术开发中心副总裁兼负责人。在过去的两年里,他的谈话几乎总是以同样的方式    :“EUV几乎已经为HVM做好了准备。”但这次却是与众不同的,“截止目前,EUV在准备HVM中”。

据悉,ASML今年又运送了7个NXE:3400B系统,共计11个新系统。这使得该领域的EUV系统总数达到50个,尽管并非所有系统都被认为具有HVM功能。ASML预计到今年年底,第三季度将增加7个系统,到第四季度将增加12个系统,共计30台机器。

主要模块

当提到当前3300/3400系统的主模块时,有许多关键组件。有液滴发生器,从那里产生锡水滴。这些液滴被撞击,产生EUV光,其被引导到中间焦点。

EUV

由此,我们可以突出显示影响系统正常运行时间和功率的一些关键因素。为了改善系统,您需要高输入CO2激光器。然后,该激光器将锡滴液喷射。发生这种情况时,您需要高转换效率和高收集效率。这是通过椭圆形EUV收集镜完成的。这里有两个焦点,第一个是当锡被撞击时,然后在第二个焦点处重新聚焦。最后,液滴捕集器收集未能破裂的液滴。因此,提高效率和降低剂量开销是关键。

在他们的实验室,Yen报告说ASML已经为源功率实现了超过300瓦的功率。目前,客户现场安装的源电源仍为250 W.在250 W时,客户每小时最大吞吐量约为155片晶圆。今年早些时候英国的Britt Turkot在2019年的EUVL研讨会上证实了这些数字。

EUV

阻碍系统可用性的一个组件是液滴发生器。ASML报告称,自2014年以来,液滴发生器已从2014年的约100小时变为2019年的1000多小时。自去年以来,它们将液滴发生器的使用寿命提高了30%。值得注意的是,这里可以进行其他改进。再填充锡罐需要额外的停机时间。正如您稍后将看到的,这将在今年晚些时候解决。

EUV

另一个值得关注的是收集器本身。这是一个650毫米直径的多层分级镜,旨在最大限度地提高反射性。这里的关键问题是如何使其尽可能保持清洁,防止其起雾或被污染。目前客户安装了NXE:3400B系统,Yen报告的每千兆脉冲的降解率约为0.15%。ASML希望在相同功率(250 W)下将其降至低于0.1%/ GP。

EUV

今天,收集器遵循相当可预测的寿命,这种寿命在大致线性趋势下降低。收集器降级后,需要进行交换。这是一个问题。几年前,这可能需要一周时间。今天,它需要一天多一点。ASML打算用下一代NXE:3400C将其减少到不到8小时。

NXE:3400C

在今年下半年,ASML将开始出货其下一代EUV系统。NXE:3400C是一种进化工具,旨在进一步解决前面描述的一些缺陷。该系统的额定值为175 WPH,20 mJ /cm²。在第二季度财报电话会议上,ASML报告称该系统在实验室运行时为175 WPH,达到2000 WPD,在与客户相同的内存生产条件下运行。

新系统的一个特殊功能是模块化容器,经过重新设计,易于维护。Yen说他们希望使用这个系统可以将收集器的交换从一天减少到不到八小时。此外,他们已经改变了液滴发生器补充程序。没有任何人需要关闭系统电源以重新填充容器,然后将系统重新联机。现在可以通过内联方式重新填充新系统。总而言之,通过所有这些变化的组合,他们希望达到目标95%的可用性。

EUV

EUV Pellicle

EUV的另一个问题是粒子带来的前端缺陷。当涉及到光罩区域的清洁度时,它们每10,000次曝光接近1个粒子。

对于每10,000次曝光不能容忍1个颗粒的客户,可以选择EUV薄膜。这是一个覆盖掩模版的超薄透明膜,旨在防止颗粒到达掩模版。虽然这有助于解决缺陷,但今天使用薄膜的问题是光透射率的降低。当一些EUV被薄膜吸收时,会转化为功率损失。这方面的进展非常缓慢。在过去的一年半中,平均透光率仅提高了约3.5%至83%。一个好的目标是超过90-93%的透射率,但目前还不清楚该行业是如何实现这一目标的。

EUV

High-NA系统

再往前看,ASML已经开始使用High-NA系统了。第一个系统预计将在2021年底之前发货。High-NA系统比目前的3400系统更大更重。该机器采用截然不同的镜头系统,使用0.55 NA变形镜头。这些镜头的额定半波长为8nm,在x方向上放大4倍,在y方向上放大8倍。由于镜头有一半的视野,该系统增加了一些额外的阶段,旨在提高加速度。

High-NA系统没有改变的一点是与3400系统兼容的源。值得指出的是,与现在相比,它在High-NA系统中实际上位于更加水平的位置,这将允许它们移除镜子。效果类似于将功率增加超过30%。

EUV

完成镜头放大率的增加以减少阴影效应。然而,4x / 8 1:2放大率的影响是电路设计本身。使用标准的6英寸光掩模,在标准0.33 NA机器上,您可获得4x / 4x光罩,这意味着33 mm×26 mm的全光场,最大芯片尺寸为858mm²。对于具有变形光学器件的0.55 NA,您在y方向上看8倍,因此您的场地现在减半。对于电路设计人员而言,这意味着有效磁场为16.5 mm x 26 mm,最大裸片尺寸为429mm²。

EUV

目前,仍然存在一些与基础设施有关的重大挑战,High-NA的掩模基础设施还没有。

一个值得注意的问题是前面讨论的面具3D效果。它需要新颖的吸收剂以最小化问题。当芯片设计人员完成IC设计时,设计将从文件传输到光掩模中。该掩模用作主模板,通过在晶片上印刷图案,扫描仪可以从该模板有效地印刷IC的许多副本。传统上,这是通过掩模投射光来完成的。这个过程很像典型的高架投影仪,除了向后(从大图像到小图像)。对于EUV,面具是完全不同的。这里,掩码实际上是基于镜像类型的掩码空白。通过使用吸收材料以及抗反射涂层(ARC)在掩模上“绘制”不同的电路图案。

EUV

在操作过程中,当光线照射到掩模版上时(通常是一个小角度,大约6度),有时这些结构的反射会引起阴影效应和像差。

EUV

尽管这个问题已经有很长一段时间了解,但研发主要集中在EUV的其他方面,如主模块和电源。此外,这些效果在7纳米节点上也没有太大问题。然而,降至5纳米和3纳米,掩模3D效果将变得更加明显。

另外,光刻胶与High-NA掩模须在同一侧,还有很多工作要做。

EUV

500 W功率的High-NA系统

再看一点。Yen说,在他们的圣地亚哥实验室,ASML已经设法达到450 W的功率。“当我们的High-NA扫描仪出现时,我相信我们将超过500 W,”他补充道。大约500 W是ASML需要在其高NA半场扫描仪上达到150 WPH,60 mJ /cm²的地方。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分