set_max_delay被覆盖的解决办法

描述

约束的优先级

XDC描述的时序约束是有优先级的,尤其是涉及到时序例外的约束,如set_clock_groups、set_false_path、set_max_delay和set_multicycle_path。如果这些约束施加到同一条路径上,那么其优先级如下图所示。

Delay

对于同类型的约束,遵循的原则则是越具体的优先级越高。如下图所示,都是set_max_delay约束,且都使用了-from和-to,显然第一条约束比第二条约束更具体,因此,第一条约束优先级高于第二条约束,第二条约束将被部分覆盖。这里部分覆盖的含义是凡是从clk1到clk2的路径,都遵守最大延迟为12ns的要求,但如果设计中存在从clk1到clk3的路径,则仍然按15ns进行约束。

Delay

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分