解析EDA技术的三个发展阶段

EDA/IC设计

1052人已加入

描述

一 EDA技术的涵义

EDA是电子设计自动化(Electronice Design Automation)的英文缩写。 EDA技术就是以大规模可编程逻辑器件为设计载体, 依赖计算机在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译。逻辑化简。逻辑分割。逻辑综合。布局布线,以及逻辑优化和仿真测试,最终形成集成电子系统或专用集成芯片的一门新技术。

二 EDA技术的发展回顾

EDA技术伴随着计算机。集成电路。电子系统设计的发展,经历了三个发展阶段。

1.计算机辅助设计,简称CAD.20世纪70年代,集成电路制作方面MOS工艺已得到广泛的应用。可编程逻辑技术及其器件已经问世,计算机作为一种运算工具已在科研领域得到广泛的应用。人们开始用计算机进行IC版图编辑和H@I布局布线&取代了手工操作。

2.计算机辅助工程阶段,简称CAE.80年代为CAE阶段,此时的EDA工具可以完成原理图输入。逻辑综合。逻辑仿真。电路分析。自动布局布线。尽管EDA技术取得了巨大的成功,但是从设计输入到设计输出的各个软件工具都是互相独立的,互不兼容,影响设计环节的衔接。而且软件界面千差万别,学习使用困难。

3.电子设计自动化简称EDA进入20世纪90年代,随着计算机辅助工程。辅助分析和辅助设计在电子技术领域获得更加广泛的应用,与此同时电子技术在通信。计算机及家电产品生产中的市场需求和技术需求,极大地推动了全新的电子设计自动化技术的应用和发展。这时的EDA工具不仅具有电子设计的能力,而且能够提供独立于工艺和厂家的系统设计能力,具有高级抽象的设计构思手段。

三。基于VHDL的自顶向下的设计方法

硬件描述语言是一种用于电子系统硬件设计的计算机高级语言,它采用软件的方法来描述电子系统的逻辑功能。电路结构和连接关系。 VHDL是作为电子设计主流硬件的描述语言,它的英文全名是(very high speedintegerated dircuit)Hardware Descripition Language. VHDL不仅可以作为系统模拟的建模工具;而且可以作为电路系统的设计工具,可以利用软件工具将VHDL源代码自动地转化为文本方式表达的基本逻辑元件连接图,即网表文件, VHDL具有很强的电路描述能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。 VHDL支持各种模式的设计方法,使设计者可以专心致志于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。

传统的电子设计技术通常是自下而上的设计方法,即首先确定系统的最底层的电路模块或元件的结构和功能,然后根据主系统的功能要求&将他们组合成更大的功能模块,使他们的结构和功能满足高层次系统的要求。依次流程,逐步向上递推,直到完成整个目标系统的设计。由于设计只能采用系统仿真仪。逻辑分析仪和示波仪进行仿真和调试。因此,只有在系统设计完成以后才能进行仿真和调试。系统存在的问题只有在设计后期才能发现。

一旦考虑不周,往往需要全部重新设计,使设计周期大大增加。在EDA技术应用中,采用自上而下的设计方法,即从系统总体出发,自上而下地逐步将设计内容细化,最后完成系统设计。它包括如下设计阶段:

1)根据系统对硬件的要求,详细编制技术规格书,并根据技术规格书,对系统的功能进行细化,合理地划分功能模块,并画出系统的功能框图。

2)建立VHDL行为描述模型,这是对整个系统的数学建模过程。

3) VHDL行为仿真。这一阶段主要通过VHDL仿真器对顶层的设计进行仿真,用来检查设计原理是否正确。如果存在错误,则返回上层重新修改。

4)RTL描述方式。由于采用VHDL行为描述的设计很难与具体逻辑元器件实现一一对应关系。所以,必须将VHDL行为描述转化为RTL描述.RTL描述是用VHDL中可综合子集中的语句完成的,即可以最终实现模拟器的描述。

5)RTL描述的仿真。在这一阶段对RTL描述级模型进行仿真。

6)逻辑综合。使用逻辑综合工具将RTL描述转化为逻辑元件表示的文件(门级网络表)。结合具体的目标硬件环境进行标准单元调用。布局布线和约束条件优化配置。同时生VHDL格式的时序仿真文件等。

7)门级时序仿真。门级仿真,在计算机上了解更接近硬件目标器件工作的功能时序。这些仿真成功后就可以将设计提供给生产工序了。

8)硬件测试。对完成的硬件系统进行检查和测试。与传统的设计方法相比,采用自上而下的设计方法具有以下特点:

1)设计仿真贯穿设计的各个层次,便于在设计的早期发现设计存在的问题,从而大大降低设计的难度,缩短了设计周期,降低了生产成本。

2)设计的源程序是最终的设计文件,便于保存。阅读方便。可继承性好。

3)由于EDA技术用硬件描述语言表达的成功的专用功能设计实现目标方面有很大的可选性,它即可以用不同来源的通用FPGA/CPLD实现,也可以直接以ASIC来实现,设计者拥有完全的自主权。

四。结论

随着科技的进步&电子产品的更新日新月异,EDA技术作为电子产品开发研制的源动力,已成为现代电子设计的核心。所以发展EDA技术将是电子设计领域和电子产业界的一场重大的技术革命,同时也对电子专业课程的教学和科研提出了更深更高的要求。掌握和普及EDA技术,将对我国电子技术的发展具有深远的意义。
        责任编辑:tzh

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分