三种种创建ROM的方法解析

电子说

1.2w人已加入

描述

ROM是只读型存储器,写入数据之后就不能在对数据进行更改。下面提供3种创建ROM的方法:
一、自己动手通过readmemh或readmemb函数来将自己写的文件与ROM进行关联;有几点需要注意的地方:寄存器rom的大小(位宽和深度)如下面的代码中位宽为8,深度为1024

// An highlighted block
module myrom(
	clk,
	addr,
	q
);
input clk;
input [9:0] addr;
output reg[9:0] q;
reg [7:0] rom [1023:0];
initial begin 
	$readmemh("./xxx.txt",rom,0,1023);
	//读16进制的数据
	//格式$readmemh("file_name",memory_name[,start_addr[,finish_addr]]);
	//file_name:文件名;memory_name:ROM名;start_addr:开始地址;finish_addr:结束地址
	//[]的内容为可选内容,
	//readmemb 读2进制的数据
end
always(posedge clk)
	q<=rom[addr];
endmodule

二、第二中方法是通过ip核创建rom。选择菜单栏中的Tools下的ip核配置工具,搜索rom,选着Verilog语言,并命名文件。点击下一步

存储器

可以看到,在配置工具中依然需要设置位宽和深度。

存储器

在mem init中的文件名,这里需要注意的是文件必须是.mif和.hex文件。

三、第三中方法是直接生成代码,在代码段上进行修改。

存储器

生成的代码段如下:这里需要修改的是两个参数DATA_WIDTH、ADDR_WIDTH。然后将对应的文件名修改了就可以了。

// Quartus II Verilog Template
// Single Port ROM

module single_port_rom
#(parameter DATA_WIDTH=8, parameter ADDR_WIDTH=8)
(
	input [(ADDR_WIDTH-1):0] addr,
	input clk, 
	output reg [(DATA_WIDTH-1):0] q
);

	// Declare the ROM variable
	reg [DATA_WIDTH-1:0] rom[2**ADDR_WIDTH-1:0];

	// Initialize the ROM with $readmemb.  Put the memory contents
	// in the file single_port_rom_init.txt.  Without this file,
	// this design will not compile.

	// See Verilog LRM 1364-2001 Section 17.2.8 for details on the
	// format of this file, or see the "Using $readmemb and $readmemh"
	// template later in this section.

	initial
	begin
		$readmemb("single_port_rom_init.txt", rom);
	end

	always @ (posedge clk)
	begin
		q <= rom[addr];
	end

endmodule

编辑:hfy

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分