quartus ii使用教程_quartus ii安装教程

电子说

1.2w人已加入

描述

  quartus ii使用教程

  下面就是小编带给大家的quartusii使用教程方法操作,希望能够给你们带来一定的帮助,谢谢大家的观看。

  1.新建工程:从开始菜单启动Quartus II 13.1(64 bit) ,菜单栏选择File—》New Project Wizard,新建工程。

quartus

 

  2.弹出新建工程对话框,点击Next,依次设置工程的存放路径,工程名称,顶层文件名称,如图依次设置好,这里我们将所有工程相关的文件放在prj文件夹内,便于工程文件与源码文件的管理,同时要保持工程名称与顶层实体名称一致,继续Next。

quartus

 

  3.添加设计文件,这一步我们不需要添加,后续我们会新建相应的代码文件。这里继续Next。选择FPGA器件,如图所示,这里我们选择器件家族是CycloneIV系列的,封装是FBGA,管脚数为256,速度等级为8。这里速度等级越小,速度越快。

 

  4.CoreVoltage是内核电压,Cyclone IV的内核电压是1.2V。LE是Altera最基本的逻辑单元,LEs表示FPGA的所有的逻辑资源。User I/Os表示用户IO数,这里共有180个IO可以供用户使用。

 

  5.PLL锁相环,内部一共有两个锁相环。Global clocks全局时钟网络数,这里一共有10个。设置EDA工具,这里我们就设置一下仿真工具,使用默认Modelsim

 

  6.语言选择Verilog。实际后面我们不建议大家使用Modelsim-Altera。我们会推荐大家在直接使用Modelsim-SE,后者的通用性更强。继续Next。总结新建工程的基本信息。到这里就完成工程的建立。

 

  quartus ii安装教程

  .quartus ii安装教程?quartus ii 13.0是一款专业的仿真开发软件。下面我就详细说这款软件的安装教程。

  1.打开浏览器百度搜索关键词quartus ii,如下图所示:

  2.搜索出来网页前几个就是目标软件,点击下载即可。我这里已经下载好了;如下图所示:

 

  3.双击运行quartus ii软件,弹出安装软件界面,点击next

 

  4.选中 I accept the agreement,点击next

 

  5.接着软件自动安装中,等待片刻。..

 

  6.最后点击Finish。这就是quartus ii安装教程。


责任编辑:YYX

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分