关于Xilinx中DDS IP的运用与讲解

电子说

1.2w人已加入

描述

项目简述

本次项目我们主要是为了讲解DDS,所以我们使用了混频这个小项目来讲解。DDS自己手写是比较简单且灵活,但是Xilinx给我们提供了相应的IP核,那么这次我们将直接讲解使用IP来产生不同频率的正弦波。这篇博客我们也首次引入了Xilinx中block design的设计方法,由于AXI4总线的出现,我们使用block design的设计概念特别简单。本次的项目主要是:利用两个DDS产生两个不同频率的正弦波,然后进行***相乘***混频,利用Modelsim进行仿真验证我们实验的正确性。本次实验所用到的软硬件环境为:

1、VIVADO 2019.1软件环境

2、Modelsim仿真环境

DDS IP的定制及讲解

Xilinx

1、我们选择波形与相位同时存在的情况。

2、选择DDS IP输入的系统时钟频率,这里我们选择100MHz。

3、DDS通道的数目,我们这里选择一个。

4、整个IP配置的模式,我们这里选择标准模式即可。

5、参数选择的模式,我们这里选择系统参数,这个选择主要影响IP的定制界面所涉及到的参数。

6、频率的动态范围,主要和DDS内部RAM的数据位宽有关,计算方法是20log2n,其中n为RAM的位宽。

7、选择DDS的最小的频率分辨率。

Xilinx

1、相位增量我们选择固定

2、相位偏执我们也选择成固定,这两个参数可以动态控制输出的频率。

3、我们这里产生正弦波

4、因为我们只考虑正弦波的频率,座椅这里不再输出相位信息

Xilinx

1、输入我们需要DDS输出的频率,这里我们输入5MHz。

其余选项,我们选择默认即可。这样,我们便定制了一个完整的DDS IP核,接下来的博客我们会自己手写相应的DDS的功能,感兴趣的同学可以保持关注。

Block Design涉及

我们这边博客首次引入了block design的设计方法,但是这个设计方法特别重要,希望同学们可以好好学习。进行block design的连线图如下:

Xilinx

然后验证设计的有效性,产生输出工程,生成相应的top文件,供我们接下来的设计使用。

测试文件代码

这里我们为了验证我们实验的正确性,给出相应的测试模块。

`timescale 1ns / 1ps // // Company: // Engineer: // // Create Date: 2020/04/03 22:39:17 // Design Name: // Module Name: tb // Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // // module tb; wire [15:0] P ; reg aclk ; initial begin aclk = 1‘b0; end always #5 aclk = ~aclk; system_wrapper system_wrapper_inst( .P (P ), .aclk (aclk ) ); endmodule

仿真结果

我们进行Modelsim仿真测试的结果如下:

Xilinx

从上面的仿真波形可以验证我们实验的准确性。
编辑:lyn

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分