怎样利用modelsim仿真波形图案来显示字符?

描述

这个小工程利用modelsim仿真波形图案来显示字符。效果如下,送给正在复习备考的同学,坚持就是胜利:

用到的工具如下:

---PCtoLCD2002 : 产生显示用的字符点阵

---Quartus:代码编写,仿真文件生成。

---Modelsim:生成要显示的字符图案。

1,字符点阵产生

PCtoLCD2002端设置如下:

字符

生成字符点阵:

字符

保持字模后,生成的字符点阵文件如下:

字符

2,字符点阵数据处理

将字符点阵文件中的提示信息,备注,标点符号等全部删除,只留下点阵的编码。并将相邻奇偶两行的数据调整到一行。效果如下:

字符

将处理后的字符文件重命名为 char_code.hex 。

3,代码编辑

(1,)字符点阵数据储存

利用BRAM模块来储存字符点阵数据。利用 readmemh 函数加载字符点阵数据。

字符

(2)波形生成

将从BRAM模块中读出的数据与时钟信号按位相与即可。

字符

4,仿真

编写仿真文件,运行仿真,展开 out 信号,缩放到合适比例,即可看到字符显示。效果即同文章开头所示。

【注】本工程是利用quartus设置的仿真环境,在仿真设置时,最好将 char_code.hex 也添加进仿真文件列表中,仿真仿真软件找不到该文件。

字符

文章来源:数字积木

图片来源:清风淡雨

责任编辑:lq6

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分