Verilog HDL verilog hdl和vhdl的区别

电子说

1.2w人已加入

描述

  Verilog HDL verilog hdl和vhdl的区别

  Verilog HDL是一种以文本形式描述数字系统硬件的结构和行为的硬件描述语言,也可描述逻辑电路图、逻辑表达式等。Verilog HDL和VHDL是目前主流的、最受欢迎的两种硬件描述语言。

  Verilog HDL用于从算法级、门集到开关级的多种抽象设计层次的数字系统建模。

  Verilog HDL语言具有这些描述能力,如设计的行为特性、设计的数据流特性、设计的结构组成及包含响应监控和设计验证方面的时延和波形产生机制,同时Verilog HDL还提供了编程语言接口,通过该接口可在模拟、验证器件从外部访问设计,也包括模拟的具体控制和运行。

  Verilog VDL语言不仅定义了语法,编写的模型也可通过Verilog仿真器进行验证,也因为从C语言继承了多钟操作符和结构,具备扩展的建模能力。

  使用Verilog描述硬件的基本设计单元是模块(module),复杂的电子电路主要是通过模块的相互连接调用实现的,模块被包含在关键字module、endmodule内。

  Verilog HDL的数据类型是具有八种信号强度的四值逻辑,分别是

  0代表逻辑低电平,条件为假

  1代表逻辑高电平,条件为真

  z代表高阻态,浮动

  x代表未知逻辑电平

  Verilog HDL所用到的变量都属于线网类型和寄存器。

  Verilog HDL与VHDL的区别在于

  1.Verilog HDL继承自C语言,VHDL继承自ADA

  2.Verilog HDL描述的是行为级、RTL级、门级、开关级,不支持电路级和版图级;VHDL描述的是系统级、行为级、RTL级、门级。

  以上是关于Verilog HDL的基础知识,希望对用户有所帮助

  本文整合自百度百科

  责编AJX

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分