美国从EDA下绊子,3nm工艺关键技术GAA将与中国无缘?

描述

美国商务部工业安全局在近日宣布,将四项新技术纳入出口管制,包括两大宽带隙半导体材料(氧化镓和金刚石)、开发GAAFET结构集成电路的ECAD软件、以及生产和开发燃气涡轮发动机或系统的压力增益燃烧技术。我们在上一篇文章中已经对这四大技术的出口管制进行了分析,本文我们来详细讲讲GAA相关EDA软件的限制有何影响。
 
在美国商业部工业安全局给出的这份决定文件中,关于GAA EDA的条例将直接被添加到“电子类”商业控制清单中的软件出口控制中。我们可以通过对比一下位列其中的其他软件来有个大致认知,比如用于算力超过5GFLOPS、拥有32位及以上算数逻辑单元的信息安全微处理器的控制软件;用于开发EUV光刻机光掩膜或光罩的计算光刻模型;用于让微处理器、微电路在EMP或ESD干扰后1ms内完全恢复的软件。
 
明眼人都能看出,美国对这类软件的限制明显是冲着在先进技术和国防安全来的,而GAAFET恰好就是目前最先进的半导体技术之一。而这类软件出口管制给出的理由是国家安全和反恐怖主义,鉴于没有哪个国家位于美国反恐怖主义的清单上,所以就只剩因为国家安全而实施出口管控的国家了,除了北约成员、日韩与新西兰、澳大利亚得以幸免外,其余国家都被列入了管制范围内。
 
EDA出口限制意欲为何
 
在美国《联邦公报》刊登决定文件给出的细则中,对用GAAFET进行IC设计开发的EDA软件进行了详细的说明,美国商务部工业安全局指出EDA工具不仅仅用于商用IC的设计,同样在军用和航天航空用的FPGA和ASIC设计中起到不可或缺的作用。
eda
针对四项新技术的出口管制文件 / 联邦公报
 
自从EUV光刻机断供以来,国内虽然在突破7nm芯片制造上已经受阻,但除了华为等被列入实体清单的公司外,仍然有设计7nm以下芯片并完成流片的能力,比如阿里巴巴的倚天710芯片。从国产GPU、AI芯片和服务器芯片的发展趋势来看,我们已经走到了7nm、5nm的路口,未来要想继续保持竞争力,只能沿着这个单行道走下去。
eda
3nm GAA EDA解决方案 / 三星
 
而GAAFET技术是突破3nm及以下工艺的关键技术,对于已经开始限制14nm半导体制造设备出口的美国来说,此举无疑是想从设计和制造两头来控制中国半导体产业的突破。美国其实已经在半导体设计上占据主导地位,但近年来自中国IC设计公司的挑战不断增加,所以美国开始实施各种出口管制,加上最近签署的芯片法案,为的就是夺回半导体生产制造的霸主地位,同时又稳固自己在设计上的垄断性优势。
 
GAA的重要性与国内外EDA厂商差距                   
 
GAA作为FinFET结构的继任者,采用了栅极全环绕半导体电子导通通道的方式,提供了良好的栅极控制和极佳的扩展性,有效抑制了短沟道效应,为半导体供应进入埃米时代奠定了基础。

eda
Intel 20A RibbonFET结构 / 英特尔
 
业界也已经达成了共识,GAA技术用于3nm及后续工艺已经成了定局,确定的厂商有三星、台积电和英特尔。三星率先在3nm上开始使用GAA技术,而且已经正式开始生产,台积电将在2nm节点上跟上这个潮流,英特尔则要等到Intel 20A这个节点才会使用。虽然其结构叫法可能有所不同,比如纳米线、纳米片或纳米带等等,但归根结底都是GAA技术,考虑到目前仍在推进先进工艺的也就这么三家,要想绕过这一技术来设计3nm以下的芯片基本不可能了。
 
鉴于目前国内半导体产业的芯片设计流程中,美国EDA厂商的设计工具依然占据主导地位,尤其是先进工艺的芯片设计,所以这一出口管制带来的影响才不可忽视。我们以率先使用GAA技术的三星为例,三星在今年六月底正式宣布了运用GAA技术的3nm芯片开始生产,三星先进代工厂联盟的EDA合作伙伴们也纷纷发来祝贺,包括Ansys、Cadence、西门子EDA和新思,他们已经从仿真、验证、布局上和三星的GAA技术展开了合作,全面涵盖了模拟、数字和混合信号的IC设计。
 
早在2020年10月,新思就发布了3nm GAA的AMS(模拟与混合信号)设计参考流程,新思和三星对其进行合作优化,可为使用三星3nm GAA工艺技术的工程师在先进的5G、HPC、AI和IoT应用上提供最大化的设计效率,缩短设计周期。该流程包括设计时电迁移分析、实时设计规则检查和新思的IC Validator物理验证方案。
 
根据EDA厂商的看法,从FinFET到GAAFET,其实并不如从平面结构到FinFET那样翻天覆地的变化,但每个流程节点都需要进行大量的“方法调整”。可如今GAA相关的出口管制砸下后,国内IC设计公司要想用上GAA工艺节点下的全流程EDA软件工具也就难了,而国内EDA厂商目前最多只完成了数字或模拟一个方向的全流程电路设计,至于工艺上的进展我们可以从招股书中看出。
 
从华大九天的招股书来看,也只有电路仿真工具支持到了5nm,其他的模拟电路EDA设计工具还在28nm的水平。从国微思尔芯的招股书来看,其承接的国家级专项研究项目中,目标是开发一套数字芯片全流程EDA系统,包括布局布线、时序分析、物理验证、逻辑综合等工具,且支持16nm工艺,并对10nm工艺有一定支持,根据招股书中说明的实际执行情况来看,主要研究目标已经完成,正在后续验收工作阶段。
 
概伦电子在其招股书中表示其制造类与设计类EDA工具,均能支持到3nm的先进工艺节点和FinFET、FD-SOI半导体工艺,从其技术水平与特点一栏来看,这里指的主要是器件建模与验证和电路仿真及验证EDA工具。广立微的招股书中也提到,其EDA解决方案已经成功应用于180nm到3nm的工艺技术节点,也已经进入三星电子的供应体系。
 
由此来看,国内EDA厂商在GAA技术上的积累还是有所缺失的,这也是因为对于三星和台积电这类先进晶圆厂来说,他们在开发GAA工艺节点的过程中就与新思、Cadence、西门子EDA这三大EDA厂商紧密合作了,而国内EDA厂商往往只能在工艺节点开发完成后才能拿到数据,然后才能开始PDK相关的合作与开发。所以国内在这方面的落后,大部分要归结于没有长时间的经验与合作积累。
              
管制的后续影响
 
GAA相关EDA的出口管制其实和此前对华为、中芯国际的限制在性质上并不一样,这次针对的不再是特定的公司,而是直接从产业链上出发,对国家进行限制。这对于EDA厂商、晶圆厂未来的营收都是一次沉重的打击,以新思为例,今年第二季度来自中国的营收占比约16.8%,但仍处于一个上涨的趋势。
 
显而易见的是,这一连串的出口管制确实对中国3nm及之后的芯片设计造成了一定影响,但并没有封堵所有的可能性。对于GAAFET结构的芯片制造来说,EDA工具只是其中一环,美国并没有对三星或台积电的GAA PDK做出限制,像华大九天、概伦电子、广立微和鸿芯微纳等厂商又是三星和台积电的EDA合作伙伴。
 
这样一来,国内EDA厂商若是也开始布局GAAFET的话,依然不会受此限制。而现有的美国EDA厂商在GAA节点之外的软件工具,也可以继续用下去,当然,如果能拿到美国商业部的许可的话,还是可以继续供应GAA相关EDA工具的,但这种可能性几近微乎其微了。
 
此外,这一规定中也提到,EDA软件常常以模块和功能授权的形式来提供,像GAA这样的特定技术也必须得通过“专门设计”来实现。这也是另外三项出口管制即刻生效,而针对EDA的出口管制还要到10月份才会实施的原因,在此之前美国商务部将征求公众和行业意见,确定有哪些特定的软件特性或功能可以用于设计GAAFET架构的芯片,计划探讨出一个合理的出口管控方式,所以具体如何实施尚未确定下来,但管制是逃不掉了。
 
这就和EUV光刻机和华为5G芯片的处境一样,固然国内依然可以购置ASML的DUV光刻机,但与EUV光刻机彻底无缘了,而华为也只是可以用上高通的4G移动芯片而已。美国政府并不打算斩断本国公司在中国的所有盈利来源,但同时也决心隔绝中国有任何在先进半导体技术上做出突破的契机。
 
国产EDA的下一个目标
 
其实大家对于GAA相关EDA的出口管制也不必太悲观,首先模拟电路这块的迭代速度相对较慢,还没有那么快用上GAA技术,所以这项举措影响的主要还是2024年之后的数字电路设计。
 
而国内的数字EDA厂商也已经开始行动,支持7nm/5nm的逻辑综合、仿真验证技术均已经在研发阶段,再下一步肯定就是3nm之后的节点了。美国实施的出口管制目前看来还是在“战未来”,但无疑也为国内EDA厂商定下了一个不小的目标。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分