Vivado DDR4仿真操作

描述

首先新建ddr的IP,具体每个参数的含义,可以参考之前写的

Virtex7 Microblaze下DDR3测试

再右键,打开IP的Example Design,这样才能生成ddr对应的model。

Vivadoimage-20220730160832768

如果右键发现这个按钮是不可用的,那就多等等,IP建好后需要等synth_design Complete后,很多文件才生成完毕。

Vivadoimage-20220730160950335

在sim目录下,可以看到很多的hidden的文件,这是因为生成的Model被加密了,我们只能使用,但看不到源码

Vivadoimage-20220730163207785

我们可以看下工程下面有个ddr4_model.sv的文件。

Vivadoimage-20220730214033783

该文件是加密的:

Vivadoimage-20220730214120586

我们直接进行仿真即可:

Vivadoimage-20220730214321561

进入到仿真页面,直接通过tcl仿真1ms,但其实仿真不到1ms就会结束:

Vivadoimage-20220730162028789 Vivadoimage-20220730161928489

在仿真结束时,会提示下面的信息:

Vivadoimage-20220730161957651

我们可以把ddr ip的AXI总线拉出来,看一下axi写操作和读操作的数据。

Vivadoimage-20220730162217675 Vivadoimage-20220730162250341

  
      审核编辑:彭静
打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分