【开鸿智谷NiobeU4开发板免费试用体验】基于WIN11+WSL2+Ubuntu20.04搭建开发环境

描述

本文来源电子发烧友社区,作者:李先生, 帖子地址:https://bbs.elecfans.com/jishu_2305555_1_1.html



准备

先通过原理图了解下板载资源
开鸿智谷

使用一根TYPE-C的USB线,作为供电,串口调试,程序下载。
开鸿智谷

参考

https://gitee.com/fangye945a/device_board_openvalley/blob/master/niobeu4/README_zh.md

整个开发环境基于

WIN11 WSL2 + Ubuntu 20.04.4

可以搜索相关资料准备。

 

安装依赖工具与库

sudo apt install ccache

sudo apt install git git-lfs

sudo update-alternatives --install /usr/bin/python python /usr/bin/python3.8 1

sudo apt-get install python3-setuptools python3-pip -y

sudo pip3 install --upgrade pip

pip3 install --trusted-host mirrors.aliyun.com -i http://mirrors.aliyun.com/pypi/simple jinja2 ohos-build==0.4.6 esptool

vi ~/.bashrc #打开环境配置文件

export PATH=$PATH:~/.local/bin #添加该语句至文件末尾,保存退出

source ~/.bashrc #使环境配置文件生效

 

安装repo工具

sudo apt install curl -y

curl -s https://gitee.com/oschina/repo/raw/fork_flow/repo-py3 > repo

chmod a+x repo

sudo mv repo /usr/local/bin/

安装交叉编译工具链

mkdir -p ~/download && cd ~/download

wget https://dl.espressif.com/dl/xtensa-esp32-elf-gcc8_4_0-esp-2021r2-linux-amd64.tar.gz

sudo tar axvf xtensa-esp32-elf-gcc8_4_0-esp-2021r2-linux-amd64.tar.gz -C /opt/

vi ~/.bashrc

最后添加export PATH=$PATH:/opt/xtensa-esp32-elf/bin

source ~/.bashrc

获取源码

mkdir niobeu4_src && cd niobeu4_src

git config --global user.email ["you@example.com"](mailto:)

git config --global user.name "Your Name"

repo init -u https://gitee.com/fangye945a/niobeu4_trial.git -m devboard_niobeu4.xml

repo sync -c

repo forall -c 'git lfs pull'

repo start master --all

构建

进入源码根目录

hb set

按上下按键选择openvally下的iotlink,回车
开鸿智谷

hb build -f
开鸿智谷

生成镜像
开鸿智谷

复制到windows下的D盘

cp out/niobeu4/iotlink/bin/* /mnt/d

下载

https://gitee.com/link?target=https%3A%2F%2Fwww.espressif.com.cn%2Fsites%2Fdefault%2Ffiles%2Ftools%2Fflash_download_tool_3.9.2_0.zip下载Flash_Download_Tool

解压双击打开flash_download_tool_3.9.2.exe
开鸿智谷
开鸿智谷

提示如下按键SW1进行复位
开鸿智谷

烧录完成如下
开鸿智谷

运行

串口终端连接115200-8-n-1
开鸿智谷

开鸿智谷开鸿智谷

打印如下
开鸿智谷

总结

整个环境搭建比较简单,按照说明操作即可,WIN10或者WIN11下推荐使用WSL2进行开发。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分