Xilinx Vivado LOCK_PINS属性介绍

描述

LOCK_PINS 是 Xilinx Vivado 做物理约束的属性之一。用来将LUT的逻辑输入(I0,,I1,I2...)绑定到其物理输入pin上(A6,A5,A4...)。

常用的场景是将 timing-critical 的 LUT 的输入绑定到其延迟比较低的pin A6 和 A5上。

对于6输入的LUT,其不同输入pin之间的延迟查可以达到几十到上百皮秒。

下面是使用LOCK_PINS的一个例子,将I0绑定到A5,I1绑定到A6。(I0为LUT输入的最低位)

 

% set myLUT2 [get_cells u0/u1/i_365]
% set_property LOCK_PINS {I0:A5 I1:A6} $myLUT2
# Which you can verify by typing the following line in the Tcl Console:
% get_property LOCK_PINS $myLUT2

 







审核编辑:刘清

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分