一文详解数值比较器

描述

数值比较器

数值比较器用来判断两个二进制数的大小或相等.

一位数值比较器

比较器

多位数值比较器

比较两个多位数,应首先从高位开始,逐位比较。

例如: A=A3A2A1A0 B=B3B2B1B0

比较方法为:

① 首先比较A3和B3 , 如A3B3=10, 则A>B,如A3B3=01, 则A

② 比较A2和B2 , 如A2B2=10, 则A>B,如 A2B2=01,则A

③ 比较A1和B1 , 如A1B1=10, 则A>B,如 A1B1=01,则A

④ 比较A0和B0 , 如A0B0=10, 则A>B,如 A0B0=01,则A

四位数值比较器逻辑表达式:

比较器

通用数值比较器集成电路

通用数值比较器集成电路有多个品种,属CMOS电路的4位数值比较器的有74HC85(对应的TTL电路为74LS85)、CC14585等。

74HC85为带级联输入的4位数值比较器。

级联输入本身是多级连接时的控制信号具体到数值比较器它的含义:多位比较时要用多个芯片,低位的比较结果要送到高位芯片,高位芯片的比较结果才能完全确定。 级联输入就是高,低位之间的联系信号。

比较器

 

比较器

比较器的扩展:

比较器

十六位数值比较器(并行接法)

比较器

串行接法和并行接法性能比较:

串行接法电路简单,但速度慢; 并行接法电路复杂,速度快.

数值比较器应用举例

例:设计一个求两数之差绝对值电路。

设计思路:先将两数比较,对小的数求补,将得到的补码与另一数相加,得到结果。

比较器

数值比较器的VHDL描述

带级联输入的4位数值比较器VHDL描述:

比较器

 

比较器

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分