二进制编码器和二-十进制编码器讲解

描述

编码器

将信息(如数和字符等)转换成符合一定规则的二进制代码.

二进制编码器

用n位二进制代码对N=2n 个特定信息进行编码的逻辑电路.

设计方法: 以例说明

设计一个具有互相排斥输入条件的编码器.

二进制

二进制

4线—2线编码器电路图:

二进制

(1)编码器在任何时候只允许有一个输入信号有效;

(2)电路无X0输入端;

(3)电路无输入时,编码器的输出与X0编码等效.

带输出使能(Enable)端的优先编码器:

输出使能端: 用于判别电路是否有信号输入.

优先:

对输入信号按轻重缓急排序,当有多个信号同时输入时,只对优先权高的一个信号进行编码.

下面把上例4线—2线编码器改成带输出使能(Enable)端的优先编码器,假设输入信号优先级的次序为:X3,X2,X1,X0.

二进制

二进制

编码器电路图

二进制

二-十进制编码器

输入: I0 ,I1 ,I2 … …I9,表示十个要求编码的信号.

输出: BCD码.

电路有十根输入线,四根输出线,常称为10线—4线编码器

通用编码器集成电路

1. 8线—3线优先编码器74148

二进制

二进制

74148功能说明:

1)74148为8线—3线优先编码器,HPRI是最高位优先编码器的说明。

2)编码器输入为低电平有效,输出为3位二进制反码。

二进制

二进制

二进制

74148功能表

二进制

例:用两片74148构成16线—4线优先编码器。

高位芯片工作情况:

二进制

低位芯片工作情况:

二进制

2. 10线-4线优先编码器74147

二进制

74147功能表

二进制

编码器应用举例

二进制

编码器的VHDL描述

一个普通编码器的例子:(8线—3线)

二进制

二进制

一个优先编码器的例子:

二进制

二进制

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分