UVM Transaction-Level Modeling (TLM)介绍

电子说

1.2w人已加入

描述

验证生产力的关键之一是 在一个合适的抽象级别上考虑验证问题 。也就是说,在验证DUT时应该创建一个支持适当抽象级别的验证环境。虽然DUT实际接口都是信号级的,但有必要在transaction level管理绝大多数的验证任务,如激励生成、功能比对和覆盖率收集等。

UVM提供了一组transaction level通信接口,你可以使用它们来连接transaction level的组件。TLM接口的使用将每个验证组件与验证环境中其他组件隔离开。 TLM+factory机制通过支持组件替换来促进重用 ,因为替换前和替换后的验证组件具有相同的接口。

TLM还允许UVM验证环境与验证开发的transaction-level model进行组合(而不是DUT本身)。

例如可以用验证开发的model1( functional model )去验证model2( cycle-accurate model ),也可以同时使用model1+model2验证DUT。model1和model2分别是在不同阶段以不同精细度对RTL的建模。在前期可以通过验证环境+model1+model2,保证验证环境的稳定,等到RTL ready后使用model1和model2验证RTL,快速实现验证收敛。当然,这其中同样存在着 transaction-level和pin-level转换的事情要去做。

组件之间定义清晰的TLM接口语义也为实现mixed-language验证环境提供了支持。此外,可以理解任何带有TLM接口的验证组件( verification components )都可以非常方便地集成到已有的验证环境。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分