FPGA设计:4位计数器代码及仿真程序

描述

    大家好!今天给大家分享的是4位计数器的代码以及仿真程序。 

   

4位计数器代码:

 

module count4(out,reset,clk);
output [3:0] out;
input reset,clk;
reg [3:0] out;
always @( posedge clk)
begin
if (reset) out<=0; // 同步复位
else out<=out+1; // 计数
end
endmodule

 

4位计数器的仿真程序:

 

`timescale 1ns/1ns
`include " count4.v "
module coun4_tp;
reg clk,reset; // 测试输入信号定义为 reg 型
wire [3:0] out; // 测试输出信号定义为 wire 型
parameter DELY=100;
count4 mycount(out,reset,clk); // 调用测试对象
always #(DELY/2) clk = ~clk; // 产生时钟波形
initial
begin // 激励信号定义
clk =0; reset=0;
#DELY reset=1;
#DELY reset=0;
#(DELY*20) $finish;
end
// 定义结果显示格式
initial $monitor($time,,,"clk=%d reset=%d out=%d", clk, reset,out);
endmodule

 

modelsim仿真结果:

代码

代码

   

  审核编辑:汤梓红

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分