MCU项目innovus中get_db使用

描述

在Cadence Innovus环境中,get_db是一个非常有用的命令,用于从设计数据库中提取信息。你可以使用这个命令查询设计中的各种对象,如单元、引脚、网表等。以下是一些示例:

# 获取所有单元 

get_db [current_design] .insts 

# 获取所有引脚 

get_db [current_design] .pins 

# 获取所有网表 

get_db [current_design] .nets

然而,get_db 命令主要用于查询数据库对象的属性,而不是设置属性。要设置数据库对象的属性,你可能需要使用其他命令,如 set_db。

回到我们的MCU项目后端部分:我们的MCU有12个时钟。如何报告出时钟呢?

MCU芯片

方法1:get_clocks。innovus工具get_clocks命令报出来的时钟会重复,因为工具报的是2个analysis view下的clock name,如下图:

MCU芯片

方法2:get_db clocks。innovus工具get_db clocks命令报出来的时钟也会重复,重复的原因也是有两个analysis_view,如下图:

MCU芯片

解决办法:可以指定一个view来报clock。





审核编辑:刘清

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分