过融合编译器和PrimeShield为新兴的高级节点PPA机会做好准备

描述

如今,性能、功耗和面积 (PPA) 目标是由多个静态指标驱动的预定义值,包括时钟和数据路径时序、特定电压电平下的功耗以及平面图尺寸和形状。然后,这些指标推动技术库表征、设计优化和签核收敛。

高级节点设计(尤其是高性能计算 (HPC) 设计)的积极 PPA 目标不断突破极限,以释放额外的 PPA 机会。

新兴购电协议机会

功耗和性能指标都在不断发展,以考虑高级节点更广泛的变量。让我们研究一下这种现象来理解原因。

动态或开关电源已成为功耗优化的重中之重,尤其是对于高级节点 HPC 应用。虽然降低工作电压可以直接降低动态功耗,但工作电压长期以来一直是设计流程中的静态指标。高级节点上更高的电池和功率密度也使得降低电压供应水平成为一项极其困难的任务。尽管如此,较低的电压水平对于实现有竞争力的每瓦性能目标至关重要。因此,一个新的购电协议机会出现了。

在时序的情况下,经过验证的方法使用静态时序分析 (STA) 来分析每个时序路径,并根据频率指标单独检查它们。由于高级节点的显著可变性,特别是在低电压下,非常需要分析由高可变性引起的潜在性能瓶颈。通过对所有关键路径进行统计关联来识别这些瓶颈的统计分析可以避免过度补偿,同时改进设计 PPA 指标。因此,时序性能也出现了新的PPA机会。

与PrimeShield一起寻找新的购电协议机会

2017年,PrimeTime建立了代工厂认证的高级电压调节技术,使设计人员能够在广泛的范围内对任何电压电平进行准确分析。设计人员现在有一种方法可以“扫描”电压范围,在不同的电压电平下试运行相同的设计,并最终找到所需的PPA或每瓦性能目标的电压最佳点。虽然 PrimeTime 解决方案被证明既准确又有效,但扫荡过程非常耗时和资源消耗。

快进到今天,在强劲的客户需求的推动下,PrimeShield扩展了PrimeTime核心技术,并引入了一种新的PPA签核分析类型,称为电压松弛,它代表设计中每个单元或每个路径的最低电压,以满足性能要求。这种签核分析使设计人员能够有效地查明电压瓶颈,以提高IR压降鲁棒性,推动电压裕度均匀性,并发现直接微调工作电压的机会。

可变电压现在可用作 PPA 优化指标。

PrimeShield还具有创新的快速统计引擎,该引擎利用了PrimeTime签核的核心引擎。PrimeShield解决方案在机器学习技术加速下,在几分钟内对关键时序路径执行快速蒙特卡罗统计仿真,而传统的统计模拟则需要数天或数周。

其专利设计变异分析具有统计相关建模功能,可在具有数十亿个细胞的大规模SoC上进行分析和优化,而以前仅对几十个细胞进行分析是可行的。禁止完全统计设计变化的运行时挑战已经消失,可以对任何规模的每个设计进行分析和优化。

统计性能瓶颈分析现在可用作 PPA 优化指标。

利用融合编译器捕捉新的 PPA 机会

Fusion Compiler 是业界唯一一款在实施和 PPA 优化期间部署 Synopsys 最值得信赖的黄金签核解决方案的数字设计实现解决方案。Fusion Compiler 独特的高级 Fusion 技术可在实施环境中无缝地进行任何新的签核分析,而不会延迟。

PrimeShield的电压松弛和统计分析技术也不例外。通过将签核精确分析与强大的签核驱动优化技术相结合,Fusion Compiler 和 PrimeShield 重新定义了 SoC 高级节点 PPA 收敛和签核。这释放了 PPA 优化机会,提升了 PPA 曲线并提高了 SoC 设计每瓦性能。

新的电压松弛分析和优化功能在早期客户参与期间取得了巨大的成果,在满足超过载条件的同时,总功耗降低了 15%,并显著提高了标准工作模式的每瓦性能。

多亏了PrimeShield和Fusion Compiler,设计人员现在可以轻松获得高级节点签核方面出现的新PPA机会。

审核编辑:郭婷

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分