modelsim自动化仿真实验 利用脚本实现modelsim自动化仿真

描述

大家好!今天给大家带来的是modelsim自动化仿真程序。我们在代码编写完成时,通常都需要先进行仿真,然后上板实验。但是如果我们每次仿真都要去新建一个工程,添加.v文件以及testbench文件,然后编译,再添加波形,这样是非常麻烦的。在实际工作中一般不会这样操作,通常我们都会利用脚本实现modelsim的“自动化”编译。实现一键完成电脑自动打开modelsim软件,自动编译,自动添加波形等操作。

请注意!以上功能的实现必须要先完成modelsim编译vivado库!

  通过这个modelsim自动化仿真实验,你可以学到如下知识:

1.如何利用脚本实现modelsim的自动化仿真。

2.do文件的结构以及常用代码语句。compile.do文件内容部分截图:

脚本

3.波形文件wave.do的结构内容以及常用代码语句。wave.do文件内容部分截图:

脚本

4.实际工作中各个模块之间的架构,怎么把各个模块封装起来,最后只留下一个顶层文件。

脚本

脚本

5.如何实现axi_master与axi_slave之间的仿真。这个自动化仿真实验实现的就是axi_master与axi_slave之间的仿真。通过这个实验,不仅能够基本掌握如何用modelsim实现自动化仿真,而且还可以帮助你掌握axi_master与axi_slave的底层代码逻辑。对于才接触axi总线的朋友来说,这个内容是很有价值的。它将为你学习axi总线大大助力!source文件夹下面的目录截图:

脚本
 

  审核编辑:汤梓红
打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分