ISE和Modelsim安装破解教程

描述

导语

工欲善其事必先利其器,本期我们讲解工具软件的安装和破解(提示:本教程方法仅限于学习交流,请勿他用)

•Xilinx还是Altera?

学习FPGA一定要学习FPGA的设计思想以及设计原理,不要纠结于单一的实验平台或者操作软件,因为你想在这个行业越走越高的话,广度和深度都是要有所了解的,初期学习的时候尤其注重动手,选择一款操作平台以及操作软件是为了让你更好的去动手做,而不是让你在这款软件或者实验平台去做文章,因为不懂原理的话,换个环境你同样是什么都不明白。

从目前企业中做开发使用的广泛性来说,Xilinx占得比重比较大一些,所以我们选择Xilinx开发平台。

•Vivado还是ISE?

Xilinx目前开发工具包括ISE和Vivado,

ISE design suite 支持 Spartan-6、 Virtex-6、和 CoolRunner 器件,及其上一代器件系列。

针对 Virtex-7、Kintex-7、Artix-7、和 Zynq-7000 及更高级器件,Xilinx 推荐 Vivado Design Suite ,

也是就是说ISE支持7系及以后器件,ISE支持6系及以前器件,考虑到同学们买的开发板基本都是6系及以前的(因为7系以上的开发板比较贵),所以选用ISE作为教学讲解工具。

•ISim还是Modelsim?

FPGA厂家的开发工具都有第三方仿真平台的接口,调用最多的第三方仿真软件就是Modelsim,ISim是ISE自身集成的仿真工具,两者功能差不多。用modelsim的人比较多,然后教程也多,容易学。跑大型的工程,Modelsim快很多。所以我们在以后的教程中使用Modelsim演示。

说明

本教程内容:

ISE和Modelsim安装及破解教程

ISE 联合 Modelsim 仿真设置

软件版本:

Xilinx 官方提供的 ISE14.7 版本

Modelsim_10.1c

软件下载地址:

ISE链接:https://pan.baidu.com/s/17z64cN0iSbEKNjAZ_uMqcA    提取码:hqp4

Modelsim链接:https://pan.baidu.com/s/1bpC1lw6rOZ0nZA60JU3PqA    提取码:xmz8

若链接失效,请关注微信公众号花蚂蚁,后台分别回复“ISE”和“Modelsim”获取链接。

!!!请及时收藏点赞,以便安装时指导

第一部分 ISE14.7 软件安装

Xilinx 已经停止对 ISE 软件的更新, 所以版本 14.7为 ISE 开发环境的最高版本, 大家再也不需要为软件的不断升级而疲于奔命了, 装完 ISE14.7 就可以一劳永逸, 能够打开不同的 ISE版本的工程了。

第一步: 运行 Xilinx_ISE_DS_Win_14.7_1015_1 目录下的 xsetup.exe 应用程序

仿真软件

第二步: 在弹出的 Welcome 对话框中选择 Next

仿真软件

第三步: 勾上图中的两个勾, 接受条款, 再点击 Next

仿真软件

第四步: 勾上下图中的勾, 接收条款, 再点击Next

仿真软件

第五步: 选择ISE Design Suite System Edition(默认), 再点击Next

仿真软件

第六步:保持默认的安装选项, 这里有一项Install Cable Drivers, 这是安装下载器的驱动, 一定要安装。再点击Next

仿真软件

第七步:这里选择安装路径,默认选择为C:Xilinx, 点击Next

仿真软件

第八步: 点击Install开始安装

仿真软件

安装期间会跳出以下MATLAB安装的对话框, 点击Ok就可以

仿真软件

第九步: 哎! 总算装好了, 不容易啊! 点击Finish

仿真软件

第十步:安装完软件后我们还需要安装软件的License, 不然无法编译。在弹出的Xilinx License Configuration Manager里点击Manage Licenses按钮。

仿真软件

第十一步: 点击Load License, 在浏览框中选择ISE147_Crack目录下的Xilinx_ise.lic文件。

仿真软件

如果弹出Xilinx_ise.lic文件已经存在的对话框,点击Yes覆盖。

仿真软件

软件会提示License安装成功。

仿真软件

ISE14.7软件安装完成,撒花!

第二部分 ModelsimSE安装

ISE14.7对应的Modelsim软件版本Modelsim 10.1, 同学们可以根据自己电脑的操作系统具体情况来安装Win32位的或者是win64位的。

仿真软件

下面来具体介绍的Modelsim的安装方法:

第一步:这里以64位操作系统为例, 我们双击“modelsim-win64-10.1c-se.exe”,开始安装。首先出现如下安装界面,点击"Next”继续。

仿真软件

第二步:随后弹出如下图所示界面,可以选择安装目录,建议使用默认的"C:modeltech_10.1a”路径,点击“Next”继续。

仿真软件

第三步: 接着会弹出如图所示的提示,点击“Yes”继续。

仿真软件

第四步: 点击“Agree”开始软件的安装。

仿真软件

第五步: 安装过程中,会弹出如下所示的窗口,点击“Yes”继续。

仿真软件

第六步: 安装过程中,会弹出如下所示的窗口,点击“Yes”继续。

仿真软件

第七步:安装完成,弹出如下所示界面,点击“Yes”,虽然这个Hardware Security Key可能(或肯定)我们用不到。

仿真软件

 

仿真软件

第八步:安装的最后,如图所示,提示我们重启电脑,那就“Yes”吧。

仿真软件

第九步:重启电脑后,看到我们的电脑桌面上出现了如图所示的Modelsim图标。

仿真软件

在开始程序菜单中也出现了Modelsim的文件夹。

仿真软件

此时,先别急着打开Modelsim,不然打开会出现出误, 我们接下去先来Modelsim的破解。

第十步: 打开“Modelsim 10.1c crack”文件夹。复制该文件夹下的如图所示的MentorKG.exe和crack.bat两个文件。

仿真软件

将MentorKG.exe和crack.bat两个文件粘贴到Modelsim的安装目录“C:modeltech_10.1cwin64”文件夹下。32位系统的用户则是把Win32目录的“Modelsim 10.1c crack”下的文件拷贝到“C:modeltech_10.1cwin32”文件夹下。

第十一步: 用管理员身份运行cmd(win+r)进入命令中,修改路径 cd到安装目录下的win64文件夹,即输入cd c:modeltech64_10.1cwin64

仿真软件

第十二步:运行patch_dll.bat,会提示"无法analysis mgls64.dll文件",因此将win64文件夹中的mgls64.dll的文件属性中的只读去掉

仿真软件

第十三步: 重新运行patch_dll.bat后,等一会成功生成license文件,保存到安装目录下txt格式,取名为LICENSE.TXT。

仿真软件

这里我们保存到C:modeltech64_10.1cwin64目录下。

仿真软件

第十四步:添加系统环境变量(我的电脑-属性-高级-环境变量),添加变量名LM_LICENSE_FILE,变量值(安装目录,例如:C:modeltech64_10.1cwin64LICENSE.TXT),确定即可。

仿真软件

第十五步: 之后modelsim就能正常打开了,我们可以再次尝试打开桌面上的“Modelsim SE 10.1C”图标。打开modelsim后如下图所示:

仿真软件

modelsim安装破解完成。

第三部分 ISE联合Modelsim设置

本章介绍ISE工具调用modelsim工具进行仿真,在modelsim工具中调用ISE工具中的仿真库文件

第一步: 产生ISE仿真库文件,在开始菜单中,找到如图所示的“Xilinx Design Tools-> ISE Design Suite 14.7->ISE Design Tools->64-bit Tools->Simulation Library Complication Wizard”选项,点击打开。

仿真软件

第二步: 在“Select Simulator”下面选中你所装好的Modelsim版本,我们这里选择“Modelsim SE”。在“Simulator Executable Location”下面填入Modelsim.exe的所在的文件夹,点“Browse…”按钮添加也行,我们的Modelsim SE安装路径是“C:modeltech64_10.1Cwin64”。

仿真软件

第三步:选择需要编译的语言,一般我们使用默认选项“Both VHDL and Verilog”,如图所示,然后点击Next。

仿真软件

第四步: 接着如图所示,让我们选择需要编译的Xilinx FPGA和CPLD器件库。这里默认都勾选上。我们也直接点击“Next”继续即可。

仿真软件

第五步: 如图所示,这一步默认就行,全选上。下面的两行东东留空即可,那是添加额外库的,第一行是路径,第二行是命令参数,我们用不上就不要填任何东西。点击“Next”。

仿真软件

第六步: 在“Output directory for compiled libraries”下面填入输出已编译库的路径,这里我们输入“C:XilinxXilinx_lib“,注意我们这里我们需要在C:Xilinx的目录下新建Xilinx_lib的文件夹。其他的选项也使用默认便可,之后点“Launch Compiled Process”,如图所示:

仿真软件

第七步: 整个编译时间会有一些长(1-2个小时甚至更长,这取决于您的电脑),尤其是有有很长一段时间编译进度会停留在0%,这是正常现象,需要耐心等待。

仿真软件

第八步: 当编译进度到100%后,会跳转到如下图所示的界面,这里报告编译过程中的error和warning,warning可以忽略,error就必须看一下了,若出现了最好回头看看相关路径是否出现了中文或空格、版本是否正确。笔者的编译edk出现了error,可以不用理会,我们开发过程中用不到它。点击“Next”继续即可。

仿真软件

第九步: 最后一个界面是如图所示的编译报告的summary,点击“Finish”完成整个器件库的编译。

仿真软件

第十步: 待库生成完毕后,这个时候回到你的ISE的安装目录下就会看见一个Modelsim.ini的文件。

仿真软件

第十一步: 把这个在ISE的安装目录下的Modelsim.ini打开,拷贝阴影部分的内容(在modelsim.ini文件的第47行开始一直到“[vcom]”的上面一行,即第260行为止的内容,全部选中并复制)。

仿真软件

第十二步: 接着在Modelsim的安装目录下,即“C:modeltech_10.1c”,找到“modelsim.ini”后打开(注意:要先改一下Modelsim下的这个文件的属性——去掉只读属性)。在第12行的行尾,回车换行,然后将前面复制好的内容粘贴上去,如图所示。原有的内容都别动它,不要删除掉。

仿真软件

粘贴后保存modelsim.ini文件。

第十三步: 还要对ISE软件进行设置,打开ISE14.7。然后在ISE的菜单上找到“Edit——Preference...”,如图所示,单击打开Preferences设置窗口。

仿真软件

第十四步: 在左边的“Category”下选中“ISE General"->"Integrated Tools”。在Integrated Tools设置中,右边的“Model Tech Simulator”下面输入Modelsim.exe的文件路径,我们这里的路径是“C:modeltech64_10.1cwin64modelsim.exe”,如图所示。

完成设置后,点击“OK”。

仿真软件

到此为止,软件部分的安装我们全都完成了,接下就我们将进入FPGA的开发和设计的阶段了。

审核编辑:汤梓红

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分