瑞芯微RK3568核心板PET_RK3568_CORE简述

描述

1PET_RK3568_CORE核心板图片

gpu

gpu

2PET_RK3568_CORE核心板详细参数

gpu

PET-RK3568核心板参数
处理器 RK3568 Cortex A55四核64位ARM64,最高主频2.0G
内置NPU RKNN NPU,1TOPS算力,用于AI计算
内置GPU MALI-G52-2EE,支持OpenCL 2.0,OpenGLES 1.1、2.0、3.2,Vulkan1.1
内置视频编解码 支持4K@60fps H265格式硬解码、1080P@60fps硬编码
内存LPDDR4 2GB / 4GB / 8GB,标配2GB
存储EMMC 16GB / 32GB / 64GB / 128GB,标配 16GB
操作系统 支持安卓Android 12、Buildroot Linux、Debian、Ubuntu、鸿蒙HarmonyOS等
PET-RK3568核心板引脚功能
多屏异显输出 可同时支持3屏异显
LVDS显示输出 双8双通道1920x1080(通过底板MIPI转LVDS芯片实现)、单8单通道1280x800
eDP显示输出 最大支持分辨率 2560x1600
MIPI显示输出 双通道最大支持分辨率 2048x1536,单通道最大支持分辨率1920x1080
HDMI显示输出 最大支持分辨率 4096x2160
RGB/BT1120显示 最大支持分辨率 1920x1080
BT656/TVOUT显示 支持PAL/NTSC,支持RGB 8 Bit
视频输入接口 MIPI 1路(4 Lane)
按键接口 电源键、烧写键、复位键、ADC按键、GPIO按键、GPIO矩阵键盘
千兆以太网接口 2路
USB接口 USB3.0 2路、USB2.0 2路、OTG 1路;用于USB外设、4G/5G模组、USB摄像头等
SDIO接口 3路,可用于TF卡、WIFI蓝牙模组等
SPI接口 4路
SARADC接口 8路,10bit / 1MSPS / 12MHz频率;用于ADC按键、配置选择、ADC输入检测
I2C接口 5路,用于电容触摸屏,I2C外设等
UART串口 10路
I2S接口 3路
PWM接口 15路,用于IR红外输入、PWM输出、显示屏背光控制等
CAN接口 3路
PCIE3.0接口 1路,2 Lane;可以拆分为2路1 Lane,可用M2电子盘等
PCIE2.1接口 1路,1 Lane;可用于SATA固态盘等
SATA3.0接口 3路
SPDIF 1路,8通道
耳机输出接口 1路,双通道
喇叭输出接口 1路,8欧1.3W
麦克风输入接口 1路
GPIO/中断 多路
PET-RK3568核心板结构参数
尺寸 63 x 50 mm,厚度1.2mm
邮票孔间距 1.0mm
引脚数 243 pin
PCB工艺 八层板,沉金工艺

注意:

RK3568引脚大部分是功能复用的,以上列表内的资源存在不能同时使用的情况,引脚功能复用情况可以查询下表或查看我司核心板精简版原理图。

3PET_RK3568_CORE核心板引脚详细说明

  名称 系统SDK默认功能 CPU引脚可选配置功能
1 RK809_VDC 上电/按键启动选择  
2 VCC5V0_SYS 5V电源输入  
3 VCC3V3_SYS 3.3V电源输入  
4 VCC3V3_SD 3.3V电源(TF卡)  
5 VCC_3V3 3.3V电源输出  
6 VCC_1V8 1.8V电源输出  
7 GND 电源地  
8 GPIO4_D2 GPIO GPIO
9 EXT_EN EXT_EN输出信号  
10 PWRON_KEY 电源键输入信号  
11 RESET_KEY 复位按键输入信号  
12 GPIO0_C7_d GPIO(调试灯) HDMITX_CEC_M1/PWM0_M1/UART0_CTSn/GPIO0_C7_d
13 GND 电源地  
14 PCIE30_RX1N M.2接口
NVME电子盘
PCIE30_RX1N
15 PCIE30_RX1P PCIE30_RX1P
16 PCIE30_RX0N PCIE30_RX0N
17 PCIE30_RX0P PCIE30_RX0P
18 PCIE30_TX1N PCIE30_TX1N
19 PCIE30_TX1P PCIE30_TX1P
20 PCIE30_TX0N PCIE30_TX0N
21 PCIE30_TX0P PCIE30_TX0P
22 PCIE30_REFCLKP_IN PCIE30_REFCLKP_IN
23 PCIE30_REFCLKN_IN PCIE30_REFCLKN_IN
24 PCIE20_REFCLKP 未使用 PCIE20_REFCLKP
25 PCIE20_REFCLKN 未使用 PCIE20_REFCLKN
26 GND 电源地  
27 SATA2_TXP SATA固态盘接口 PCIE20_TXP/SATA2_TXP/QSGMII_TXP_M1
28 SATA2_TXN PCIE20_TXN/SATA2_TXN/QSGMII_TXN_M1
29 SATA2_RXP PCIE20_RXP/SATA2_RXP/QSGMII_RXP_M1
30 SATA2_RXN PCIE20_RXN/SATA2_RXN/QSGMII_RXN_M1
31 USB3_HOST1_SSTXP USB3.0接口1信号 USB3_HOST1_SSTXP/SATA1_TXP/QSGMII_TXP_M0
32 USB3_HOST1_SSTXN USB3_HOST1_SSTXN/SATA1_TXN/QSGMII_TXN_M0
33 USB3_HOST1_SSRXN USB3_HOST1_SSRXN/SATA1_RXN/QSGMII_RXN_M0
34 USB3_HOST1_SSRXP USB3_HOST1_SSRXP/SATA1_RXP/QSGMII_RXP_M0
35 USB3_OTG0_SSTXP USB3.0接口2信号 USB3_OTG0_SSTXP/SATA0_TXP
36 USB3_OTG0_SSTXN USB3_OTG0_SSTXN/SATA0_TXN
37 USB3_OTG0_SSRXN USB3_OTG0_SSRXN/SATA0_RXN
38 USB3_OTG0_SSRXP USB3_OTG0_SSRXP/SATA0_RXP
39 USB3_OTG0_DM USB_OTG
USB3.0接口2信号
USB3_OTG0_DM
40 USB3_OTG0_DP USB3_OTG0_DP
41 USB3_HOST1_DM USB3.0接口1信号 USB3_HOST1_DM
42 USB3_HOST1_DP USB3_HOST1_DP
43 USB3_HOST2_DM USB2.0接口1 USB3_HOST2_DM
44 USB3_HOST2_DP USB3_HOST2_DP
45 USB3_HOST3_DM USB2.0接口 USB3_HOST3_DM
46 USB3_HOST3_DP USB3_HOST3_DP
47 USB3_OTG0_VBUSDET USB_OTG USB3_OTG0_VBUSDET
48 USB3_OTG0_ID USB_OTG USB3_OTG0_ID
49 SDMMC0_DET_L SDMMC0
TF卡接口
SDMMC0_DET/SATA_CP_DET/PCIE30X1_CLKREQn_M0/GPIO0_A4_u
50 SDMMC0_D1 SDMMC0_D1/UART2_RX_M1/UART6_RX_M1/PWM9_M1/GPIO1_D6_u
51 SDMMC0_D0 SDMMC0_D0/UART2_TX_M1/UART6_TX_M1/PWM8_M1/GPIO1_D5_u
52 SDMMC0_CLK SDMMC0_CLK/TEST_CLKOUT/UART5_TX_M0/CAN0_RX_M1
53 SDMMC0_CMD SDMMC0_CMD/PWM10_M1/UART5_RX_M0/CAN0_TX_M1/GPIO2_A1_u
54 SDMMC0_D2 SDMMC0_D2/ARMJTAG_TCK/UART5_CTSn_M0/GPIO1_D7_u
55 SDMMC0_D3 SDMMC0_D3/ARMJTAG_TMS/UART5_RTSn_M0/GPIO2_A0_u
56 SARADC_VIN2_LCD_ID LCD型号 ADC配置 SARADC_VIN2
57 SARADC_VIN1_LCD_ID LCD型号 ADC配置 SARADC_VIN1
58 SARADC_VIN0_KEY ADC按键 SARADC_VIN0
59 GPIO1_D4_d GPIO GPIO1_D4_u
60 GPIO1_B1_d GPIO I2S1_SDO2_M0/I2S1_SDI2_M0/PDM_SDI2_M0/PCIE20_WAKEn_M2/ /GPIO1_B1_d
61 GPIO1_B2_d GPIO I2S1_SDO3_M0/I2S1_SDI1_M0/PDM_SDI1_M0/PCIE20_PERSTn_M2/GPIO1_B2_d
62 GMAC0_TXEN 千兆以太网0
GMAC0
GMAC0_TXEN/UART1_RTSn_M0/SPI1_CLK_M0/GPIO2_B5_u
63 GMAC0_TXD3 SDMMC1_CMD/GMAC0_TXD3/UART9_RX_M0/GPIO2_A7_u
64 GMAC0_TXD2 SDMMC1_D3/GMAC0_TXD2/UART7_TX_M0/GPIO2_A6_u
65 GMAC0_TXD1 GMAC0_TXD1/UART1_TX_M0/GPIO2_B4_u
66 GMAC0_TXD0 GMAC0_TXD0/UART1_RX_M0/GPIO2_B3_u
67 GMAC0_TXCLK SDMMC1_CLK/GMAC0_TXCLK/UART9_TX_M0/GPIO2_B0_d
68 GMAC0_RXD3 SDMMC1_D1/GMAC0_RXD3/UART6_TX_M0/GPIO2_A4_u
69 GMAC0_RXD2 SDMMC1_D0/GMAC0_RXD2/UART6_RX_M0/GPIO2_A3_u
70 GMAC0_RXD1 I2S2_SCLK_RX_M0/GMAC0_RXD1/UART6_RTSn_M0/SPI1_MOSI_M0/GPIO2_B7_d
71 GMAC0_RXD0 GMAC0_RXD0/UART1_CTSn_M0/SPI1_MISO_M0/GPIO2_B6_u
72 GMAC0_RXDV_CRS I2S2_LRCK_RX_M0/GMAC0_RXDV_CRS/UART6_CTSn_M0/SPI1_CS0_M0/GPIO2_C0_d
73 GMAC0_RXCLK SDMMC1_D2/GMAC0_RXCLK/UART7_RX_M0/GPIO2_A5_u
74 GMAC0_MCLKINOUT I2S2_SCLK_TX_M0/GMAC0_MCLKINOUT/UART7_CTSn_M0/SPI2_MISO_M0/GPIO2_C2_d
75 GMAC0_MDC I2S2_LRCK_TX_M0/GMAC0_MDC/UART9_RTSn_M0/SPI2_MOSI_M0/GPIO2_C3_d
76 ETH0_REFCLKO_25M I2S2_MCLK_M0/ETH0_REFCLKO_25M/UART7_RTSn_M0/SPI2_CLK_M0/GPIO2_C1_d
77 GMAC0_MDIO I2S2_SDO_M0/GMAC0_MDIO/UART9_CTSn_M0/SPI2_CS0_M0/GPIO2_C4_d
78 GMAC0_INT/PMEB_GPIO2_D2 GPOP(风扇控制) LCDC_D2/VOP_BT656_D2_M0/SPI0_CS0_M1/PCIE30X1_CLKREQn_M1/I2S1_LRCK_TX_M2/ GPIO2_D2_d
79 GMAC0_RSTn_GPIO2_D3 GPIO(GMAC0复位) LCDC_D3/VOP_BT656_D3_M0/SPI0_CLK_M1/PCIE30X1_WAKEn_M1/I2S1_SDI0_M2/GPIO2_D3_d
80 GND 电源地  
81 GPIO1_A4_d SPDIF输出 I2S1_SCLK_RX_M0/UART4_RX_M0/PDM_CLK1_M0/SPDIF_TX_M0/GPIO1_A4_d
82 SPKP_OUT 喇叭输出 SPKP_OUT
83 SPKN_OUT SPKN_OUT
84 GPIO1_B0_d GPIO(耳机检测) I2S1_SDO1_M0/I2S1_SDI3_M0/PDM_SDI3_M0/PCIE20_CLKREQn_M2/GPIO1_B0_d
85 HP_SNS 耳机输出 HP_SNS
86 HPR_OUT HPR_OUT
87 HPL_OUT HPL_OUT
88 MIC1_INP 麦克风输入 MIC1_INP
89 MIC1_INN MIC1_INN
90 GND 电源地  
91 GMAC1_MDC_M1/GPIO4_B6 千兆以太网1
GMAC1
CIF_HREF/EBC_SDLE/GMAC1_MDC_M1/UART1_RTSn_M1/I2S2_MCLK_M1/GPIO4_B6_d
92 GMAC1_MDIO_M1/GPIO4_B7 CIF_VSYNC/EBC_SDOE/GMAC1_MDIO_M1/I2S2_SCLK_TX_M1/GPIO4_B7_d
93 GMAC1_MCLKINOUT_M1/GPIO4_C1 CIF_CLKIN/EBC_SDCLK/GMAC1_MCLKINOUT_M1/UART1_CTSn_M1/I2S2_SCLK_RX_M1/GPIO4_C1_d
94 GMAC1_RXD3_M1/GPIO4_A2 CIF_D12/EBC_SDDO12/GMAC1_RXD3_M1/UART7_TX_M2/PDM_SDI2_M1/GPIO4_A2_d
95 GMAC1_RXD2_M1/GPIO4_A1 CIF_D11/EBC_SDDO11/GMAC1_RXD2_M1/PDM_SDI1_M1/GPIO4_A1_d
96 GMAC1_RXD1_M1/GPIO4_B0 CAM_CLKOUT1/EBC_SDCE2/GMAC1_RXD1_M1/SPI3_MISO_M0/I2S1_SDO1_M1/GPIO4_B0_d
97 GMAC1_RXD0_M1/GPIO4_A7 CAM_CLKOUT0/EBC_SDCE1/GMAC1_RXD0_M1/SPI3_CS1_M0/I2S1_LRCK_RX_M1/GPIO4_A7_d
98 GMAC1_RXDV_CRS_M1/GPIO4_B1 ISP_PRELIGHT_TRIG/EBC_SDCE3/GMAC1_RXDV_CRS_M1/I2S1_SDO2_M1/GPIO4_B1_d
99 GMAC1_RXCLK_M1/GPIO4_A3 CIF_D13/EBC_SDDO13/GMAC1_RXCLK_M1/UART7_RX_M2/PDM_SDI3_M1/GPIO4_A3_d
100 GMAC1_TXEN_M1/GPIO4_A6 ISP_FLASHTRIGOUT/EBC_SDCE0/GMAC1_TXEN_M1/SPI3_CS0_M0/I2S1_SCLK_RX_M1/GPIO4_A6_d
101 GMAC1_TXD3_M1/GPIO3_D7 CIF_D9/EBC_SDDO9/GMAC1_TXD3_M1/UART1_RX_M1/PDM_SDI0_M1/GPIO3_D7_d
102 GMAC1_TXD2_M1/GPIO3_D6 CIF_D8/EBC_SDDO8/GMAC1_TXD2_M1/UART1_TX_M1/PDM_CLK0_M1/GPIO3_D6_d
103 GMAC1_TXD1_M1/GPIO4_A5 CIF_D15/EBC_SDDO15/GMAC1_TXD1_M1/UART9_RX_M2/I2S2_LRCK_RX_M1/GPIO4_A5_d
104 GMAC1_TXD0_M1/GPIO4_A4 CIF_D14/EBC_SDDO14/GMAC1_TXD0_M1/UART9_TX_M2/I2S2_LRCK_TX_M1/GPIO4_A4_d
105 GMAC1_TXCLK_M1/GPIO4_A0 CIF_D10/EBC_SDDO10/GMAC1_TXCLK_M1/PDM_CLK1_M1/GPIO4_A0_d
106 GMAC1_RSTn_GPIO2_D1 GPIO(GMAC1复位) LCDC_D1/VOP_BT656_D1_M0/SPI0_MOSI_M1/PCIE20_WAKEn_M1/I2S1_SCLK_TX_M2/GPIO2_D1_d
107 ETH1_REFCLKO_25M_M0 25M时钟(GMAC1) LCDC_D15/VOP_BT1120_D6/ETH1_REFCLKO_25M_M0/SDMMC2_PWREN_M1/GPIO3_B0_d
108 GMAC1_INT/PMEB_GPIO2_D0 GPIO LCDC_D0/VOP_BT656_D0_M0/SPI0_MISO_M1/PCIE20_CLKREQn_M1/I2S1_MCLK_M2/GPIO2_D0_d
109 UART8_RTSn_M0 UART8(BT) SDMMC1_PWREN/I2C4_SDA_M1/UART8_RTSn_M0/CAN2_RX_M1/GPIO2_B1_d
110 UART8_CTSn_M0 SDMMC1_DET/I2C4_SCL_M1/UART8_CTSn_M0/CAN2_TX_M1/GPIO2_B2_u
111 UART8_RX_M0 CLK32K_OUT1/UART8_RX_M0/SPI1_CS1_M0/GPIO2_C6_d
112 UART8_TX_M0 I2S2_SDI_M0/GMAC0_RXER/UART8_TX_M0/SPI2_CS1_M0/GPIO2_C5_d
113 WIFI_REG_ON_H_GPIO3_D5 GPIO(WIFI) CIF_D7/EBC_SDDO7/SDMMC2_PWREN_M0/I2S1_SDI3_M1/VOP_BT656_D7_M1/GPIO3_D5_d
114 WIFI_WAKE_HOST_H_GPIO3_D4 GPIO(MIPI_CSI) CIF_D6/EBC_SDDO6/SDMMC2_DET_M0/I2S1_SDI2_M1/VOP_BT656_D6_M1/GPIO3_D4_d
115 SDMMC2_CMD_M0 SDIO(WIFI) CIF_D4/EBC_SDDO4/SDMMC2_CMD_M0/I2S1_SDI0_M1/VOP_BT656_D4_M1/GPIO3_D2_d
116 SDMMC2_D3_M0 CIF_D3/EBC_SDDO3/SDMMC2_D3_M0/I2S1_SDO0_M1/VOP_BT656_D3_M1/GPIO3_D1_d
117 SDMMC2_D2_M0 CIF_D2/EBC_SDDO2/SDMMC2_D2_M0/I2S1_LRCK_TX_M1/VOP_BT656_D2_M1/GPIO3_D0_d
118 SDMMC2_D1_M0 CIF_D1/EBC_SDDO1/SDMMC2_D1_M0/I2S1_SCLK_TX_M1/VOP_BT656_D1_M1/GPIO3_C7_d
119 SDMMC2_CLK_M0 CIF_D5/EBC_SDDO5/SDMMC2_CLK_M0/I2S1_SDI1_M1/VOP_BT656_D5_M1/GPIO3_D3_d
120 SDMMC2_D0_M0 CIF_D0/EBC_SDDO0/SDMMC2_D0_M0/I2S1_MCLK_M1/VOP_BT656_D0_M1/GPIO3_C6_d
121 HOST_WAKE_BT_H_GPIO3_A2 GPIO(BT) LCDC_D9/VOP_BT1120_D1/GMAC1_TXD2_M0/I2S3_MCLK_M0/SDMMC2_D1_M1/GPIO3_A2_d
122 I2S3_SCLK_M0 I2S3(BT) LCDC_D10/VOP_BT1120_D2/GMAC1_TXD3_M0/I2S3_SCLK_M0/SDMMC2_D2_M1/GPIO3_A3_d
123 I2S3_SDI_M0 LCDC_D13/VOP_BT1120_CLK/GMAC1_TXCLK_M0/I2S3_SDI_M0/SDMMC2_CLK_M1/GPIO3_A6_d
124 I2S3_SDO_M0 LCDC_D12/VOP_BT1120_D4/GMAC1_RXD3_M0/I2S3_SDO_M0/SDMMC2_CMD_M1/GPIO3_A5_d
125 I2S3_LRCK_M0 LCDC_D11/VOP_BT1120_D3/GMAC1_RXD2_M0/I2S3_LRCK_M0/SDMMC2_D3_M1/GPIO3_A4_d
126 BT_REG_ON_H_GPIO3_A0 GPIO(BT) LCDC_CLK/VOP_BT656_CLK_M0/SPI2_CLK_M1/UART8_RX_M1/I2S1_SDO1_M2/GPIO3_A0_d
127 WIFIBT_32KIN_2T2R 32.768K时钟输出  
128 GPIO2_D7_d GPIO LCDC_D7/VOP_BT656_D7_M0/SPI2_MISO_M1/UART8_TX_M1/I2S1_SDO0_M2/GPIO2_D7_d
129 PCIE30X2_WAKEn_M1 M.2接口 LCDC_D5/VOP_BT656_D5_M0/SPI2_CS0_M1/PCIE30X2_WAKEn_M1/I2S1_SDI2_M2/GPIO2_D5_d
130 PCIECLKIC_OE_H_GPIO3_A7 GPIO LCDC_D14/VOP_BT1120_D5/GMAC1_RXCLK_M0/SDMMC2_DET_M1/GPIO3_A7_d
131 PCIE30X2_CLKREQn_M1 M.2接口 LCDC_D4/VOP_BT656_D4_M0/SPI2_CS1_M1/PCIE30X2_CLKREQn_M1/I2S1_SDI1_M2/GPIO2_D4_d
132 PCIE30X2_PERSTn_M1 M.2接口 LCDC_D6/VOP_BT656_D6_M0/SPI2_MOSI_M1/PCIE30X2_PERSTn_M1/I2S1_SDI3_M2/GPIO2_D6_d
133 SATA2_ACT_LED/GPIO4_C4 GPIO EDP_HPDIN_M0/SPDIF_TX_M2/SATA2_ACT_LED/PCIE30X2_PERSTn_M2/I2S3_LRCK_M1/GPIO4_C4_d
134 RTCIC_INT_L_GPIO0_D3_d GPIO(RTC中断) GPIO0_D3_d
135 UART3_RX_M1 UART3 LCDC_D23/PWM13_M0/GMAC1_MCLKINOUT_M0/UART3_RX_M1/PDM_SDI3_M2/GPIO3_C0_d
136 UART3_TX_M1 LCDC_D22/PWM12_M0/GMAC1_TXEN_M0/UART3_TX_M1/PDM_SDI2_M2/GPIO3_B7_d
137 UART4_RX_M1 UART4 LCDC_D16/VOP_BT1120_D7/GMAC1_RXD0_M0/UART4_RX_M1/PWM8_M0/GPIO3_B1_d
138 UART4_TX_M1 LCDC_D17/VOP_BT1120_D8/GMAC1_RXD1_M0/UART4_TX_M1/PWM9_M0/GPIO3_B2_d
139 PWM14_M0/UART7_TX_M1 UART7 PWM14_M0/VOP_PWM_M1/GMAC1_MDC_M0/UART7_TX_M1/PDM_CLK1_M2/GPIO3_C4_d
140 SPDIF_TX_M1//UART7_RX_M1 PWM15_IR_M0/SPDIF_TX_M1/GMAC1_MDIO_M0/UART7_RX_M1/I2S1_LRCK_RX_M2/GPIO3_C5_d
141 UART9_RX_M1 UART9 PWM13_M1/SPI3_CS0_M1/SATA0_ACT_LED/UART9_RX_M1/I2S3_SDI_M1/GPIO4_C6_d
142 UART9_TX_M1 PWM12_M1/SPI3_MISO_M1/SATA1_ACT_LED/UART9_TX_M1/I2S3_SDO_M1/GPIO4_C5_d
143 UART2_TX_M0_DEBUG UART2 UART2_TX_M0/GPIO0_D1_u
144 UART2_RX_M0_DEBUG UART2_RX_M0/GPIO0_D0_u
145 CAN1_RX_M1/GPIO4_C2 CAN PWM14_M1/SPI3_CLK_M1/CAN1_RX_M1/PCIE30X2_CLKREQn_M2/I2S3_MCLK_M1/GPIO4_C2_d
146 CAN1_TX_M1/GPIO4_C3 PWM15_IR_M1/SPI3_MOSI_M1/CAN1_TX_M1/PCIE30X2_WAKEn_M2/I2S3_SCLK_M1/GPIO4_C3_d
147 GND 电源地  
148 PWM7_IR IR红外输入 PWM7_IR/SPI0_CS0_M0/PCIE30X2_PERSTn_M0/GPIO0_C6_d
149 PWM6_M0/GPIO0_C5_d PWM6 PWM6/SPI0_MISO_M0/PCIE30X2_WAKEn_M0/GPIO0_C5_d
150 PWM2_M0/GPIO0_C1_d PWM2 PWM2_M0/NPUAVS/UART0_TX/MCU_JTAG_TDI/GPIO0_C1_d
151 PWM1_M0/GPIO0_C0_d PWM1 PWM1_M0/GPUAVS/UART0_RX/GPIO0_C0_d
152 SPI1_CLK_M1/GPIO3_C3_d GPIO LCDC_DEN/VOP_BT1120_D15/SPI1_CLK_M1/UART5_RX_M1/I2S1_SCLK_RX_M2/GPIO3_C3_d
153 SPI1_CS0_M1/GPIO3_A1_d GPIO LCDC_D8/VOP_BT1120_D0/SPI1_CS0_M1/PCIE30X1_PERSTn_M1/SDMMC2_D0_M1/GPIO3_A1_d
154 SPI1_MISO_M1/GPIO3_C2_d GPIO LCDC_VSYNC/VOP_BT1120_D14/SPI1_MISO_M1/UART5_TX_M1/I2S1_SDO3_M2/GPIO3_C2_d
155 SPI1_MOSI_M1/GPIO3_C1_d GPIO LCDC_HSYNC/VOP_BT1120_D13/SPI1_MOSI_M1/PCIE20_PERSTn_M1/I2S1_SDO2_M2/GPIO3_C1_d
156 I2C4_SDA_M0 I2C4 I2C4_SDA_M0/EBC_VCOM/GMAC1_RXER_M1/SPI3_MOSI_M0/I2S2_SDI_M1/GPIO4_B2_d
157 I2C4_SCL_M0 I2C4_SCL_M0/EBC_GDOE/ETH1_REFCLKO_25M_M1/SPI3_CLK_M0/I2S2_SDO_M1/GPIO4_B3_d
158 I2C2_SDA_M1 I2C2 I2C2_SDA_M1/EBC_GDSP/CAN2_RX_M0/ISP_FLASH_TRIGIN/VOP_BT656_CLK_M1/GPIO4_B4_d
159 I2C2_SCL_M1 I2C2_SCL_M1/EBC_SDSHR/CAN2_TX_M0/I2S1_SDO3_M1/GPIO4_B5_d
160 I2C5_SDA_M0/GPIO3_B4_d GPIO(NFC) LCDC_D19/VOP_BT1120_D10/GMAC1_RXER_M0/I2C5_SDA_M0/PDM_SDI1_M2/GPIO3_B4_d
161 I2C5_SCL_M0/GPIO3_B3_d GPIO(NFC) LCDC_D18/VOP_BT1120_D9/GMAC1_RXDV_CRS_M0/I2C5_SCL_M0/PDM_SDI0_M2/GPIO3_B3_d
162 I2C3_SDA_M0/GPIO1_A0_d GPIO(NFC) I2C3_SDA_M0/UART3_RX_M0/CAN1_RX_M0/AUDIOPWM_LOUT_P/ GPIO1_A0_u
163 I2C3_SCL_M0/GPIO1_A1_u GPIO I2C3_SCL_M0/UART3_TX_M0/CAN1_TX_M0/AUDIOPWM_LOUT_N/ GPIO1_A1_u
164 GPIO3_B5_d GPIO(LCD复位) LCDC_D20/VOP_BT1120_D11/GMAC1_TXD0_M0/I2C3_SCL_M1/PWM10_M0/GPIO3_B5_d
165 GPIO3_B6_d GPIO(LCD电源) LCDC_D21/VOP_BT1120_D12/GMAC1_TXD1_M0/I2C3_SDA_M1/PWM11_IR_M0/GPIO3_B6_d
166 MIPI_DSI_TX0_D3P/LVDS_TX0_D3P MIPI0/LVDS显示屏 MIPI_DSI_TX0_D3P/LVDS_TX0_D3P
167 MIPI_DSI_TX0_D3N/LVDS_TX0_D3N MIPI_DSI_TX0_D3N/LVDS_TX0_D3N
168 MIPI_DSI_TX0_D2P/LVDS_TX0_D2P MIPI_DSI_TX0_D2P/LVDS_TX0_D2P
169 MIPI_DSI_TX0_D2N/LVDS_TX0_D2N MIPI_DSI_TX0_D2N/LVDS_TX0_D2N
170 MIPI_DSI_TX0_CLKP/LVDS_TX0_CLKP MIPI_DSI_TX0_CLKP/LVDS_TX0_CLKP
171 MIPI_DSI_TX0_CLKN/LVDS_TX0_CLKN MIPI_DSI_TX0_CLKN/LVDS_TX0_CLKN
172 MIPI_DSI_TX0_D1P/LVDS_TX0_D1P MIPI_DSI_TX0_D1P/LVDS_TX0_D1P
173 MIPI_DSI_TX0_D1N/LVDS_TX0_D1N MIPI_DSI_TX0_D1N/LVDS_TX0_D1N
174 MIPI_DSI_TX0_D0P/LVDS_TX0_D0P MIPI_DSI_TX0_D0P/LVDS_TX0_D0P
175 MIPI_DSI_TX0_D0N/LVDS_TX0_D0N MIPI_DSI_TX0_D0N/LVDS_TX0_D0N
176 MIPI_DSI_TX1_D3P MIPI显示屏 MIPI_DSI_TX1_D3P
177 MIPI_DSI_TX1_D3N MIPI_DSI_TX1_D3N
178 MIPI_DSI_TX1_D2P MIPI_DSI_TX1_D2P
179 MIPI_DSI_TX1_D2N MIPI_DSI_TX1_D2N
180 MIPI_DSI_TX1_CLKP MIPI_DSI_TX1_CLKP
181 MIPI_DSI_TX1_CLKN MIPI_DSI_TX1_CLKN
182 MIPI_DSI_TX1_D1P MIPI_DSI_TX1_D1P
183 MIPI_DSI_TX1_D1N MIPI_DSI_TX1_D1N
184 MIPI_DSI_TX1_D0P MIPI_DSI_TX1_D0P
185 MIPI_DSI_TX1_D0N MIPI_DSI_TX1_D0N
186 GND 电源地  
187 HDMITX_CEC_M0 HDMI显示输出 HDMITX_CEC_M0
188 HDMITX_SDA HDMITX_SDA
189 HDMITX_SCL HDMITX_SCL
190 HDMI_TX_HPDIN HDMI_TX_HPDIN
191 HDMI_TXCLKN_PORT HDMI_TXCLKN_PORT
192 HDMI_TXCLKP_PORT HDMI_TXCLKP_PORT
193 HDMI_TX0N_PORT HDMI_TX0N_PORT
194 HDMI_TX0P_PORT HDMI_TX0P_PORT
195 HDMI_TX1N_PORT HDMI_TX1N_PORT
196 HDMI_TX1P_PORT HDMI_TX1P_PORT
197 HDMI_TX2N_PORT HDMI_TX2N_PORT
198 HDMI_TX2N_PORT HDMI_TX2N_PORT
199 GND 电源地  
200 EDP_HPDIN_M1 EDP显示屏 EDP_HPDIN_M1
201 EDP_TX_AUXN EDP_TX_AUXN
202 EDP_TX_AUXP EDP_TX_AUXP
203 EDP_TX_D3N EDP_TX_D3N
204 EDP_TX_D3P EDP_TX_D3P
205 EDP_TX_D2N EDP_TX_D2N
206 EDP_TX_D2P EDP_TX_D2P
207 EDP_TX_D1N EDP_TX_D1N
208 EDP_TX_D1P EDP_TX_D1P
209 EDP_TX_D0N EDP_TX_D0N
210 EDP_TX_D0P EDP_TX_D0P
211 LCD1_BL_PWM4/GPIO0_C3_d PWM4(LCD) PWM4/VOP_PWM_M0/PCIE30X1_PERSTn_M0/MCU_JTAG_TRSTn/GPIO0_C3_d
212 LCD2_BL_PWM5/GPIO0_C4_d PWM5(LCD) PWM5/SPI0_CS1_M0/UART0_RTSn/GPIO0_C4_d
213 TP_RST_L_GPIO0_B6 GPIO(TP复位) I2C2_SDA_M0/SPI0_MOSI_M0/PCIE20_PERSTn_M0/PWM2_M1/GPIO0_B6_u
214 TP_INT_L_GPIO0_B5 GPIO(TP中断) I2C2_SCL_M0/SPI0_CLK_M0/PCIE20_WAKEn_M0/PWM1_M1/GPIO0_B5_u
215 I2C1_SCL_TP I2C1 I2C1_SCL/CAN0_TX_M0/PCIE30X1_BUTTONRSTn/MCU_JTAG_TDO/GPIO0_B3_u
216 I2C1_SDA_TP I2C1_SDA/CAN0_RX_M0/PCIE20_BUTTONRSTn/MCU_JTAG_TCK/GPIO0_B4_u
217 LCD0_BL_PWM0 PWM0(LCD) PWM0_M0/CPUAVS/GPIO0_B7_d
218 GPIO0_A5_d GPIO(背光控制) SDMMC0_PWREN/SATA_MP_SWITCH/PCIE20_CLKREQn_M0/GPIO0_A5_d
T1 GPIO1_D1_d GPIO FSPI_D0/FLASH_RDY/GPIO1_D1_u
T2 GPIO1_D2_d GPIO FSPI_D1/FLASH_RDn/GPIO1_D2_u
T3 GPIO1_D3_d GPIO FSPI_CS0n/FLASH_CS0n/GPIO1_D3_u
T4 GPIO0_D4_d GPIO(摄像头) GPIO0_D4_d
T5 GPIO0_D5_d GPIO(摄像头) GPIO0_D5_d
T6 GPIO0_D6_d GPIO(摄像头) GPIO0_D6_d
T7 SARADC_VIN3 ADC3 SARADC_VIN3
T8 SARADC_VIN4 ADC4 SARADC_VIN4
T9 SARADC_VIN5 ADC5 SARADC_VIN5
T10 SARADC_VIN6 ADC6 SARADC_VIN6
T11 SARADC_VIN7 ADC7 SARADC_VIN7
T12 MIPI_CSI_RX_D0P MIPI CSI摄像头 MIPI_CSI_RX_D0P
T13 MIPI_CSI_RX_D0N MIPI_CSI_RX_D0N
T14 MIPI_CSI_RX_D1P MIPI_CSI_RX_D1P
T15 MIPI_CSI_RX_D1N MIPI_CSI_RX_D1N
T16 MIPI_CSI_RX_D2P MIPI_CSI_RX_D2P
T17 MIPI_CSI_RX_D2N MIPI_CSI_RX_D2N
T18 MIPI_CSI_RX_D3P MIPI_CSI_RX_D3P
T19 MIPI_CSI_RX_D3N MIPI_CSI_RX_D3N
T20 MIPI_CSI_RX_CLK0P MIPI_CSI_RX_CLK0P
T21 MIPI_CSI_RX_CLK0N MIPI_CSI_RX_CLK0N
T22 MIPI_CSI_RX_CLK1P MIPI_CSI_RX_CLK1P
T23 MIPI_CSI_RX_CLK1N MIPI_CSI_RX_CLK1N
T24 CIF_CLKOUT CIF_CLKOUT/EBC_GDCLK/PWM11_IR_M1/GPIO4_C0_d
T25 REFCLK_OUT_CAM REFCLK_OUT/GPIO0_A0_d
  审核编辑:汤梓红
 
打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分