使用FPGA做的开源示波器

描述

其实用FPGA做的示波器有很多,开源的相对较少,我们今天就简单介绍一个使用FPGA做的开源示波器。

特征

模拟通道:四个

模拟带宽:350 MHz

采样率:1 GS/s

分辨率:8位

电压范围(使用 1× 探头):每格 1 mV 至 10 V

内存深度:自由可以分配多少GB!

兼容性:Windows 和 Linux

 

特点和规格

紧凑型设计

整个测试可以由笔记本电脑控制和供电,然后在测试完成后放入笔记本电脑包中。

灵活的带宽

ThunderScope 的通道均额定为 350 MHz,而不是通过将每个通道的带宽限制在 100 MHz 来削减成本。这充分利用了 ADC 的 1 GS/s 采样率.

功能强大的 Artix-7 FPGA 可实现最大数据吞吐量

通过使用Xilinx 的 XC7A35T-2CSG325C Artix-7 FPGA,ThunderScope 可以将 1 GB/s 的实时采样数据传输到设备上,而不会丢失任何一个采样数据!具有 1 Gb/s 能力的 SelectIO 输入通过 10 个 LVDS 对从 ADC 接收 1 GB/s 的数据。然后使用 32 位宽 DDR3L 接口缓冲该数据,原始吞吐量为 3.2 GB/s。最后,数据使用 4 个 PCIe Gen 2 通道以 2 GB/s 的速度发送到设备上 - 直接或通过 Thunderbolt 3。该 FPGA 总共可处理 6.2 GB/s 的数据 - 同时控制板上的所有其他部件!

  最后就是演示

FPGA

FPGA

FPGA

  审核编辑:汤梓红
 
打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
评论(0)
发评论
gcweso1982 2023-08-29
0 回复 举报
这个开发量不小啊 收起回复

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分