12v供电,带一个散热器
FPGA芯片是Xilinx XC7K325T,FFG676封装,芯片等级2I,生产日期是2017年21周
4路LED
3路轻触按键,其中一路是Config
1路CAN接口(没有焊接CAN收发器和电平转换芯片)
1路USB串口,CP2102转换芯片
1颗Spansion 128Mb QSPI Flash S25F128
1颗有源差分时钟200MHz
标准2.54mm 14P下载接口
程序下载。即目前最常用的一个功能,它可以把用户程序下载到芯片内部的Flash中。
程序调试。即实时监控程序的运动状态,并且可以通过加入断点的方式来实时调试程序。
边界扫描。即Boundary-scan,也就是JTAG设计的初衷,主要用于芯片本身和PCB电路板的硬件测试。
Test Clock Input (TCK)
TCK 为 TAP 的操作提供了一个独立的、基本的时钟信号,TAP 的所有操作都是通过这个时钟信号来驱动的。TCK 在 IEEE 1149.1 标准里是强制要求的。
Test Mode Selection Input (TMS)
TMS 信号用来控制 TAP 状态机的转换。通过 TMS 信号,可以控制 TAP 在不同的状态间相互转换。TMS 信号在 TCK 的上升沿有效。TMS 在 IEEE 1149.1 标准里是强制要求的。
Test Data Input (TDI)
TDI 是数据输入的接口。所有要输入到特定寄存器的数据都是通过 TDI 接口一位一位串行输入的(由 TCK 驱动)。TDI 在 IEEE 1149.1 标准里是强制要求的。
Test Data Output (TDO)
TDO 是数据输出的接口。所有要从特定的寄存器中输出的数据都是通过 TDO 接口一位一位串行输出的(由 TCK 驱动)。TDO 在 IEEE 1149.1 标准里是强制要求的。
Test Reset Input (TRST)
TRST可以用来对TAP Controller进行复位(初始化)。不过这个信号接口在IEEE 1149.1标准里是可选的,并不是强制要求的。因为通过 TMS 也可以对 TAP Controller 进行复位(初始化)。
JLink + TopJTAG Probe
X-JTAG
https://www.bilibili.com/video/BV1TT4y1e7HU
http://www.micetek.com.cn/technic/jtag.pdf
https://fiona.dmcs.pl/~rkielbik/nid/JTAG_IEEE-Std-1149.1-2001.pdf
#################################################################### # Copyright(C), 2010-2023, https://blog.csdn.net/whik1194 # ModuleName : top.xdc # Date : 2023-03-04 # Time : 2300 # Author : whik1194 # Function : Pin constraint # Version : v1.0 # Version | Modify # ---------------------------------- # v1.0 first version #################################################################### set_property PACKAGE_PIN AA10 [get_ports gclk_p] set_property PACKAGE_PIN D9 [get_ports greset] set_property PACKAGE_PIN D8 [get_ports key] set_property PACKAGE_PIN G20 [get_ports led1] set_property PACKAGE_PIN H19 [get_ports led2] set_property PACKAGE_PIN E20 [get_ports led3] set_property PACKAGE_PIN F19 [get_ports led4] set_property PACKAGE_PIN F8 [get_ports uart_rxd] set_property PACKAGE_PIN F9 [get_ports uart_txd] set_property PACKAGE_PIN G14 [get_ports can_rx] set_property PACKAGE_PIN H14 [get_ports can_tx] set_property IOSTANDARD DIFF_SSTL12 [get_ports gclk_p] set_property IOSTANDARD DIFF_SSTL12 [get_ports gclk_n] set_property IOSTANDARD LVCMOS33 [get_ports greset] set_property IOSTANDARD LVCMOS25 [get_ports led1] set_property IOSTANDARD LVCMOS25 [get_ports led2] set_property IOSTANDARD LVCMOS25 [get_ports led3] set_property IOSTANDARD LVCMOS25 [get_ports led4] set_property IOSTANDARD LVCMOS33 [get_ports key] set_property IOSTANDARD LVCMOS33 [get_ports uart_rxd] set_property IOSTANDARD LVCMOS33 [get_ports uart_txd] set_property IOSTANDARD LVCMOS33 [get_ports can_rx] set_property IOSTANDARD LVCMOS33 [get_ports can_tx] #QSPI set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design] set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design] set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
全部0条评论
快来发表一下你的评论吧 !