JK触发器与T触发器的Verilog代码实现和RTL电路实现

电子说

1.2w人已加入

描述

一、JK 触发器的 Verilog 代码实现和 RTL 电路实现

module JK_FF(
input wire Clk,
input wire J,
input wire K,
output reg Q
    );

// 公式
    always @(posedge Clk) begin
        Q <= (J&(~Q))|((~K)&Q);
    end

// 查找表
//    always @(posedge Clk)
//        case({J,K})
//           2'b00: Q <= Q;
//           2'b01: Q <= 0;
//           2'b10: Q <= 1;
//           2'b11: Q <= ~Q;
//       endcase

endmodule

JK 触发器的 RTL 电路图如下所示:

RTL

JK 触发器的 RTL 电路图

二、T 触发器的 Verilog 代码实现和 RTL 电路实现

module T_FF(
input wire Clk,
input wire T,
output reg Q
    );

// 公式
    always @(posedge Clk) begin
        Q <= (T&(~Q))|((~T)&Q);
    end

// 查找表
//  always @(posedge Clk)
//      if(t)
//          Q <= ~Q;
//      else
//          Q <=  Q;

endmodule

T 触发器的 RTL 电路图如下所示:

RTL

T 触发器的 RTL 电路图

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分