SV约束随机化总结

描述

1.    constraint 约束随机化类中的变量

在main_phase 之前就已经提前产生一个变量的随机值。

用法:一般在类中定义一个rand 类型的变量, 然后根据需求写约束就可以

 

函数

函数

2.    随机化变量函数

l  urandom

l  urandom_range

l  randomize

这三个函数比较常用。可以在仿真期间随时根据需要随机产生变量值

l  单一变量随机:对所有变量都适用

函数

l  对类中所有变量随机:

 

函数

函数
审核编辑:黄飞

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分