EMIF接口的基本介绍

电子说

1.2w人已加入

描述

1. 前言

DSP和FPGA进行通信用到EMIF接口。

EMIF:External Memory Interface外部存储器接口。可实现DSP与不同类型存储器(SRAM、Flash、DDR等)的连接。

2.基本介绍

EMIF分为EMIFA和EMIFB,其中EMIFA接口有64bit宽的数据总线,可连接64/32/16/8bit的器件;EMIFB接口有16bit宽的数据总线,可连接16/8bit的器件。

EMIF如下图:

FPGA

EMIF信号描述如下:

FPGA

FPGA

FPGA

3. 连接关系

EMIF接口与外部连接时使用不同的信号。

3.1 与FIFO连接

与FIFO连接主要用到下面的信号:

FPGA

因为FIFO没有地址线,所以只用到了数据线。

连接示意图如下:

FPGA

3.2 与BRAM连接

连接示意图如下:

FPGA

3.3 与FLASH连接

连接示意图如下:

FPGA

3.4 与SRAM连接

连接示意图如下:

FPGA

3.5 与ROM连接

连接示意图如下:

FPGA

3.6 与SDRAM连接

连接示意图如下:

FPGA

3.7 与SBSRAM连接

连接示意图如下:

FPGA

  审核编辑:汤梓红

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分