基于VHDL语言对高速A/D器件TLC5510控制的实现

FPGA/ASIC技术

194人已加入

描述

  --TLC5510 VHDL控制程序

  --文件名:TLC5510.vhd

  --功能:基于VHDL语言,实现对高速A/D器件TLC5510控制

  --最后修改日期:2004.3.20

  library ieee;

  use ieee.std_logic_1164.all;

  entity tlc5510 is

  port(clk :in std_logic; --系统时钟

  oe :out std_logic; --TLC5510的输出使能/OE

  clk1:out std_logic; --TLC5510的转换时钟

  din:in std_logic_vector(7 downto 0); --来自TLC5510的采样数据

  dout:out std_logic_vector(7 downto 0)); --FPGA数据输出

  end tlc5510;

  architecture behav of tlc5510 is

  signal q:integer range 3 downto 0;

  begin

  process(clk) --此进程中,把CLK 进行4分频,得到TLC5510的转换时钟

  begin

  if clk'event and clk='1' then

  if q=3 then q<=0;

  else q<=q+1;

  end if;

  end if;

  if q>=2 then clk1<='1'; --对系统CLK进行4分频

  else clk1<='0';

  end if;

  end process;

  oe<='0'; --输出使能赋低电平

  dout<=din; --采样数据输出

  end behaver;

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分