光刻机的基本原理和核心技术

制造/封装

468人已加入

描述

摘要

随着工业智能制造和电子信息技术的快速发展,集成电路的重要性日益凸显。光刻技术作为集成电路产业的核心技术,已成为国内外科研人员研究的重点方向。本文对光刻技术进行了简单介绍,并对未来的发展方向进行了展望。首先,分析了光刻系统的关键指标——分辨率及其与光刻性能的关系。其次,讨论了目前业界常用的几种基于紫外和深紫外光源的曝光方法。随后,介绍了一些具有代表性的光刻设备的结构和性能。然后,对EUV光刻和高NA光刻的最新进展进行了总结。最后,分析了当前光刻技术的局限性,并对光刻技术的未来进行了展望。本文旨在为大家提供光刻设备,特别是目前最先进的产品的使用指南。此外,本文还重点介绍了光刻技术在未来发展中可能面临的一些挑战,并对未来十年光刻技术的发展趋势进行了展望,为指导光刻机未来的发展方向以及如何进一步推动摩尔定律提供了参考。

1. 引言

1965年,摩尔假设单位面积内可容纳的晶体管数量大约每两年翻一番[1],这成为半导体产业发展的重要规律,即摩尔定律。随着时间的推移,整个半导体产业仍然在摩尔定律的指导下发展。光刻技术是半导体产业的核心技术,决定了摩尔定律是否继续有效。在光刻机的发展中,在曝光方式上,光刻机从接触式曝光机开始,经过接近式曝光机、投影式光刻机、步进式光刻机、步进扫描式光刻机、浸没式光刻机,到现在的极紫外(EUV)光刻机。在曝光光源上,研究人员不断探索如何在光刻机上应用更短波长的光,以制造更小的片上电路。首先,20世纪80年代,汞灯发出的波长为436纳米(nm)的蓝光被用作光刻机的光源,可以实现1微米的临界尺寸。随后,光源波长达到 365 nm,被称为汞 i 线,将特征尺寸推向 220 nm。20 世纪 80 年代中期,激光开始使用,光刻技术进入深紫外(DUV)时期。深紫外光刻(DUVL)开始在半导体工业中发挥越来越重要的作用。

波长为 193 nm 的 ArF 准分子激光器和波长为 248 nm 的 KrF 准分子激光器在工业上广泛用作曝光光源 [2]。F2 准分子激光器可以提供波长为 157 nm 的光,但到 2003 年,由于光刻胶和掩模材料的限制,157 nm 被认为是继 193 nm 之后光学光刻不切实际的下一步 [3]。后来,通过引入折射率 >1 的浸没液,193 nm 浸没式光刻取代了 157 nm 光刻浸没式光刻技术带来了数值孔径(NA)大于1的投影光学系统。先进半导体材料光刻技术公司(ASML)生产的NXT:2050i是目前最先进的采用浸没式光刻技术的DUV光刻机,NA为1.35,分辨率可达38纳米。

虽然DUVL机器可以通过多重曝光技术将线宽缩小到7-5纳米,但如果要获得更小的线宽,DUVL已经达到了极限。采用EUV作为光源的极紫外光刻(EUVL)成为研究的重点,其波长为13.5纳米。ASML的EUVL机器NXE:3600D可以达到13纳米的分辨率,具有5-3纳米逻辑节点的制造能力。作为下一代光刻技术,ASML和卡尔蔡司正在开发NA=0.55的高NA EUV曝光系统[5]。
本文概述了光刻机并介绍了面临的挑战。本文的其余部分安排如下。第二部分将简要介绍光刻机的基本原理,如瑞利准则以及参数与光刻机性能之间的一些关系。第三部分将介绍不同类型的光刻机。第四部分将展示先进的EUV光刻机和高NA EUV光刻机,重点关注光源和光学元件。第五部分将分析光刻机研究的局限性或技术问题并给出未来的展望。

2. 原理 

2.1 分辨率
自1958年集成电路发明以来,集成电路的集成密度不断提高,特征尺寸也不断减小。到现在,集成电路图形的线宽已减小了约5个数量级,28nm~45nm线宽的加工技术已经非常普遍。与此同时,集成密度提高了7个数量级以上,一个集成电路芯片上可以包含数千万甚至数亿个器件。这些成就很大程度上得益于光刻技术的进步。线宽小于1μm的光刻技术在技术上已经非常复杂,在此基础上进一步减小光刻图形尺寸将带来许多技术甚至理论上的挑战。目前,首要要解决的问题是如何进一步提高光刻的分辨率。

EUV

分辨率描述的是成像系统解析成像物体细节的能力 [6]。光刻系统能够区分和处理的线条的最小尺寸或机器能够充分打印的区域称为微图像处理的最小分辨率。分辨率是光刻系统最重要的指标之一,分辨率越高,光刻机能实现的最小线宽就越小。瑞利公式如下

EUV

其中 lm 是临界尺寸,即最小可能特征尺寸。λ 是所用光的波长。k1 是一个系数,它取决于与芯片制造工艺相关的许多其他因素。k1 通常等于 0.75,而光刻的物理极限为 k1 = 0.25

[7]。NA 是光学元件的数值孔径,一般在 0.16 到 0.9 之间。NA 决定了它们可以收集多少光如下公式

EUV

n为折射率 θ为光锥在晶圆上汇聚成点像的半角,D为透镜直径,f为焦距[8],如图1[9]所示。根据公式(1),可以通过降低波长λ(光刻加工的极限为λ/2,即半波长分辨率)、增加NA、优化系统设计(分辨率增强技术)和降低k1来提高分辨率。

2.2 紫外线曝光

紫外线 (UV) 和深紫外线 (DUV) 光源目前在工业中被广泛用作曝光光源。使用 UV 和 DUV 光源的最常见曝光方法是接触印刷、接近印刷和投影印刷,如图 2 所示 [10]。

EUV

2.2.1 接触印刷

如图 2a [10] 所示,在该技术中,涂有光刻胶的硅晶片与掩模板物理接触。晶片由真空系统固定。当晶片与掩模板接触时,系统会从掩模板顶部暴露在紫外线下,曝光过程发生在光刻胶层中。接触印刷可以实现高分辨率,并且优于邻近印刷,因为光刻胶和掩模板直接接触 [11]。它是集成电路研究和生产中使用的第一种曝光方法,但由于灰尘会滞留在光刻胶之间,因此现在已经过时。此外,掩模板会损坏掩模板并导致图案缺陷,从而降低产量。此外,由于掩模板与光刻胶直接接触,因此它不能重复使用 [11]。

2.2.2 邻近印刷

邻近印刷类似于接触印刷。图 3 给出了邻近印刷系统的示意图 [11]。它由光源及光学聚焦系统、掩模版、硅片、对准台四大部分组成。光学聚焦系统将汞灯发出的紫外光转换成平行光,平行光穿过掩模版在光刻胶上成像。由于掩模版与硅片之间存在很小的间隙s(通常s=5μm),所以这种方法被称为接近式打印。理论上光刻的分辨率为1/λ,但在接近式打印系统中,掩模版与硅片之间的间隙s很小,因此会因为衍射的原因对分辨率有所限制,现实中只能在3μm以上的工艺中使用接近式打印。

EUV

2.2.3 投影打印

图4为投影打印系统示意图[11]。光学聚焦系统将光源发出的光转换为平行光,然后穿过掩模版,由第二光学聚焦系统投射到晶圆上。晶圆支架和掩模版之间有一个对准系统。实际上,为了在投影打印中实现高分辨率,只有一小部分掩模被成像。这个小区域的图像场在晶圆表面上扫描。以几纳米的分辨率将掩模图像步进到晶圆表面上的投影打印机称为步进重复系统[11]。投影打印系统的分辨率主要受衍射限制,一般来说,投影打印可以达到亚微米级。

EUV

投影印刷有两个最显著的优点,一是硅片不与掩膜接触,避免了因接触而磨损造成的工艺缺陷,二是掩膜不易破损,可以仔细修正以消除缺陷,提高掩膜的利用率,由于这些优点,投影印刷已成为小于3μm光刻工艺最重要的方法之一。

3. 光刻设备

自平面光刻工艺发明以来,光刻设备已经历了五代,每代设备都能够达到一定的临界尺寸(CD)和分辨率。五个光刻时代的代表分别是接触式光刻机、接近式光刻机、扫描投影式光刻机、步进重复式光刻机和步进扫描系统。

3.1 接触式光刻机

从SSI时代到20世纪70年代,接触式光刻机是光刻的主要方法。它用于线宽5μm及以上的制造工艺。虽然也可以实现0.4μm的线宽,但目前接触式光刻机已不再广泛使用。图5是接触式光刻机系统示意图[12]。接触式光刻机的掩模版包含所有将被复制到硅片表面的阵列图案。硅片上涂有光刻胶,并被装载到可以手动控制的平台上。通过分光显微镜同时观察掩模版和硅片,然后操作员手动定位平台,使掩模版上的图案与硅片上的图案对齐。一旦掩模版和硅片对准,掩模版就会直接接触硅片表面的光刻胶涂层,这就是该设备被称为接触对准器的原因。然后将掩模版和硅片暴露在紫外线 (UV) 下。紫外线穿过掩模版的透明部分,然后将掩模版上的图案转移到光刻胶上。

EUV

3.2 接近式对准器

接近式对准器由接触式对准器发展而来,在 20 世纪 70 年代的 SSI 和 MSI 早期都得到了广泛应用。然而,这些对准器仍在一些小批量生产的实验室或生产分立器件的旧晶圆生产线中使用,因为投资用新设备替换旧设备并不划算。在实际制造中,这种类型的设备适用于线宽为 2 至 4 μm 的工艺。

EUV

3.3 扫描投影曝光机

20 世纪 70 年代初,扫描投影曝光机(又称扫描仪)的开发试图解决前面提到的接触式曝光机和接近式曝光机的问题。20 世纪 70 年代末和 80 年代初,扫描投影曝光机是占主导地位的光刻曝光工具 [13]。这些曝光机仍然在线宽大于 1 μm 的旧晶圆生产线中使用。扫描投影曝光机的理念是使用反射系统将掩模版上的整个图案以 1:1 的图像投影到硅片表面上。由于掩模版是 1X 尺寸,因此图像没有缩放,掩模版上的图案与硅片上的图案大小相同。如图 6 [14] 所示,紫外光通过狭缝聚焦在硅片上,以获得更均匀的光线。将掩模版和涂有光刻胶的晶圆放在扫描架上并同步移动,然后通过窄紫外光束对晶圆上的光刻胶进行曝光。最终,掩模版上的图像通过扫描运动被精确地复制到晶圆表面。

3.4 步进重复曝光机(步进机)

步进重复曝光机又称步进机,是20世纪90年代硅片制造的主流光刻设备。步进重复曝光机之所以得名,是因为这种设备每次只能投射一个曝光场(可能是硅片上的一个或多个芯片),然后步进到晶圆上的下一个位置进行下一次曝光。20世纪80年代末,步进机在集成电路制造业占据了主导地位[15]。它主要用于形成关键尺寸小至0.35μm(传统i线光刻胶)和0.25μm(深紫外光刻胶)的图案[16]。步进式光刻机不使用掩模版,而是使用光罩,因为光罩包含与一个或多个芯片相对应的单个曝光场中的图案,而掩模版包含所有芯片阵列。步进式光刻机的光学投影曝光系统使用折射光学元件将光罩图像投射到硅晶片上。图 7 显示了步进式重复对准器 [12]。

EUV

产量是步进式光刻机的主要问题。扫描投影对准器每小时可以生产近 100 片晶圆,而步进式光刻机每小时通常只能生产 20 到 50 片晶圆。公式 (3) 可用于表示系统的输出

EUV

这里,n 表示每片晶圆上的芯片数量,E 表示曝光时间,M 表示每次曝光时平台移动的时间,S 表示平台的稳定时间,A 表示逐场对准时间(如果使用),F 表示自动对焦时间(如果使用),O 表示包括装载/卸载、预对准、将晶圆移入和移出系统以及执行全局对准在内的时间。为了减少或消除 O,总时间中的某些项目可以与上一片晶圆的曝光同时完成。由于 n 通常在 50 到 100 之间,因此等式 (3) 中括号内的总时间对于步进式光刻机的商业成功至关重要。作为一种可以实际使用的工具,它应该保持在 2 或更小。

3.5 步进扫描系统

为了解决曝光场大小与镜头成本之间的矛盾,随着光刻曝光设备的发展,步进扫描系统这一新技术应运而生。步进扫描系统是一种结合了扫描投影曝光技术和步进重复曝光技术的混合设备,它使用缩小的镜头将大曝光场的图像扫描到硅片的一部分上,光罩和硅片都被一束窄带聚焦光扫过。步进扫描系统的标准曝光场大小为26 mm×33 mm,使用6英寸光罩,如图8所示[17]。当扫描过程和图案转移过程完成后,硅片将步进到下一个曝光区域,并重复扫描过程。

EUV

使用步进扫描系统曝光硅片具有增加曝光场的优势,从而可以实现更大的芯片尺寸。镜头的视野可以只是一条细条带状,就像全晶圆扫描投影对准器一样。在步进到下一个位置之前,它会通过一个小型且经过良好校正的 26 mm×33 mm 图像场 [13] 扫描缩小的掩模版(通常为 4 倍),如图 9 [17] 所示。更宽视野的另一个显著优势是可以在掩模版上放置更多图案,从而允许在单次曝光中曝光更多芯片。

EUV

 

EUV

此外,步进扫描系统还具有在整个扫描过程中调整焦点的能力,以补偿镜头缺陷和晶圆平整度的变化。这提高了扫描过程中对焦点的控制,从而更好地控制了整个曝光场的CD均匀性。步进扫描系统的主要挑战是对机械公差控制的要求增加,因为必须同步控制晶圆台和掩模版台的运动。步进机只需快速将晶圆移动到新位置,但步进扫描系统必须同时精确地将晶圆和掩模版沿相反方向移动。在扫描和步进过程中,定位公差不能超过几十纳米。表1总结了各代光刻设备所采用的曝光方法及其广泛使用的时间段。

4.最新产品

4.1 EUV光刻机

EUV光刻机是半导体行业最先进的光刻机,广泛应用于制造7甚至5纳米以下工艺的芯片。整机的核心系统是曝光系统。它产生 EUV 光。为了获得高效率,必须对系统进行抽真空以防止空气中的物质吸收 EUV。激光产生等离子体 (LPP) 源使用 Sn 液滴和 CO2 激光器产生 13.5 nm EUV 光,如图 10 所示 [18]。当 Sn 液滴离开发生器时,它将通过预脉冲进行“调节”。优化后的 Sn 靶比液体靶密度低,因此更多的 Sn 离子参与发射 EUV,从而提高转换效率 [19]。已经实现了 250 W 的 EUV 源功率 [20]。EUV 源功率越高,吞吐量就越高。对于镜头,曝光系统中使用的高反射率镜子在穿透镜头时会被吸收,这归因于 EUV 光,这将导致效率下降。为了保证传输效率,镜子上涂有多层涂层,基本上充当布拉格反射器 [21]。ASML 最先进的 EUV 光刻机是 NXE:3600D,使用 13.5nm 波长的 EUV 光,分辨率为 13nm。3600D 可用于制造 5nm 和 3nm 逻辑节点以及前沿 DRAM 节点。其投影光学元件为 0.33NA。至于产能,在 30mJ/cm2 的剂量下,每小时可生产大于等于 160 片晶圆。

EUV

4.2 高数值孔径EUV光刻机

ASML和Carl Zeiss正在开发NA=0.55的下一代EUV曝光系统[22]。与0.33NA光刻机相比,NA提高了67%,可提供亚8纳米半节距分辨率[23]。对于光源,仍可使用LPP光源,但EUV光刻机上应用的光源功率不足以打印较小的图案。要实现10纳米半节距图案,剂量应大于80mJ/cm2[24]。如果光源功率太低,产量将显著降低。对于高数值孔径EUV光刻机,剂量为80mJ/cm2的500W光源每小时可生产120片晶圆。如果光源功率足够高,机械考虑的极限是每小时185片晶圆。当光源功率达到1.3 kW或更高,剂量为80 mJ/cm2时,就会出现这种限制[25]。

数值孔径的增大意味着偏转角的增大和镜子的体积的增大。作为高数值孔径光学系统的最后一面镜子,根据数值孔径=nsinα的关系,与EUV光刻机相比,光锥角将从19°增大到33°。对于倒数第二面镜子,为避免最后一面镜子被遮挡,应增大偏转角,镜子体积也应增大。镜子上的多层镀膜起着布拉格反射镜的作用,必须在偏转角下工作,在角度变化尽可能小的情况下,可获得最大反射率。为了解决光线如何在较小的偏转角下照射最后一面镜子的问题,ASML引入了如图11所示的中央遮挡[26]。在掩模版一侧,随着系统数值孔径的增大,数值孔径也会增大。入射光和反射光在传输过程中会重叠。决定采用变形4x/8x系统以避免对比度损失。但曝光场将比过去减少一半[27, 28]。图12展示了与0.33NA光刻机相比高NA光刻机的其他一些改进[29]。

EUV

 

EUV

5. 局限性与未来展望

在瑞利准则的指导下,可以找到波长更短的光,增加NA并更接近k1极限。考虑到EUV光刻光源的波长为13.5nm,几乎达到了真正的X射线波长范围。由于X射线对EUV光刻机镜上的反射材料穿透性太强,导致效率低下,因此X射线的损失会非常高。另一方面,X射线光源意味着更高的功率需求,这将给电力供应带来新的问题。找到另一种波长更短的光比增加NA更困难。增加NA的另一种方法与焦深(DoF)有关。根据DoF的公式,

EUV

其中k2是与工艺相关的因素,随着NA的增加,DoF会减小,当k2=1时,DoF约为45nm,大约是EUV光刻机120nm DoF的1/3。为了掩盖艾里斑的离焦,DoF控制应优于35nm[20]。较低的DoF会对晶圆表面的平整度、光刻胶的厚度和系统控制提出新的挑战。较大的NA会导致反射镜的口径更大,使反射镜更大更重也成为一个不可忽视的问题,给制造和装配带来更大的难度。由于中心遮挡,衍射和部分0级甚至1级衍射点会被遮蔽[30],即丢失一些图案信息导致模糊。
光刻机是一个极其复杂和精密的系统。要突破技术限制,应考虑在瑞利判据的指导下采用更短波长的光源,减少短波长光在传输过程中的损失。在光源方面,自由电子激光器(FEL)是一种适用于光刻的光源。FEL具有波长范围连续可调、输出功率高、光束质量高等优点,EUV FEL和XFEL在光刻机上具有巨大的应用潜力。然而,用于产生FEL的装置相当庞大且昂贵。将FEL装置小型化并应用于工业仍然是研究的热点。如果FEL能够应用于光刻工业,更高的功率和更短的波长将带来生产率的提高和更精细的图案。除了光源之外,优化光学反射系统也是使结构更紧凑并节省成本的必要条件。尽量增加NA可能比寻找更短波长的光源更容易。如果光的波长和NA有限,调整k1以发挥最佳性能是值得考虑的。高数值孔径光刻技术是延续摩尔定律的有力工具,但随着光刻机的发展,我们面临的挑战不仅是机械限制,还有物理限制。

6. 结论

总之,本文从光源和传输系统的角度讨论了光刻机的特点。具体来说,首先对光刻机进行了总体介绍。然后,讨论了光刻系统的关键指标——分辨率,以及在紫外和深紫外光源上开发的一些常见曝光方法。之后,针对每一代光刻技术,本文介绍了一种具有代表性的光刻设备。随后,我们还总结了EUV光刻机的特点和高数值孔径光刻机的先进发展。最后,本文分析了当前最先进光刻机的局限性,并概述了未来的前景。光刻技术是延续摩尔定律的关键,而高数值孔径光刻机则将摩尔定律拓展到了下一个十年。放眼未来,有必要思考下一个光刻时代的可行方法,以解决摆在我们面前的物理限制问题。总体而言,这些结果为光刻机,特别是最先进的产品提供了指导,同时提出了一些观点,指出了光刻机发展的一些潜在挑战并提出了相应的解决方案。

EUV

 

EUV

审核编辑:黄飞

 

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分