赛灵思Kintex-7 FPGA 系列芯片简介

FPGA/ASIC技术

192人已加入

描述

  电子发烧友网:本文主要介绍了赛灵思Kintex-7 FPGA 系列芯片的性能。

  业界最佳性价比

  Kintex-7 FPGA 是一款新型的 FPGA,展现高端性能,成本降低过半。Kintex-7 系列是在通用 28nm 架构基础上构建的三大产品系列之一,其设计实现了最低的功耗,与前几代 FPGA 相比,其功耗降低了一半多,而其性价比却提高了 2 倍。 Kintex-7 系列可提供高密度逻辑、高性能收发器、存储器、DSP 以及 灵活混合信号,通过这些功能可以提高系统级性能,并可以更紧密地进行集成。 这些优异的性能有助于连续创新,同时能够以批量零售价格实现设计差异化。EasyPath -7 器件实现免转换路径,成本更低。

  采用 7 系列 FPGA 平台进行设计

  Xilinx 通过基础目标设计平台和特定领域专用目标设计平台使开发人员能够充分利用统一 7 系列 FPGAs 的功耗、性能及生产力优势。Xilinx 目标设计平台为设计人员提供了一套完整解决方案,其中包括芯片、软件、 IP 和参考设计。Kintex-7 FPGA KC705 评估套件是一款灵活的设计平台,充分展示了 Xilinx 的灵活混合信号技术,可满足系统设计的性能、串行连接功能和高级存储器接口需求。Kintex-7 FPGA DSP 套件将 KC705 基础平台和集成式高速模拟模块完美组合在一起,可加速高级 DSP 设计进程。Kintex-7 FPGA 嵌入式套件 是一款即用型平台,针对带有高性能存储器和以太网接口、基于 FPGA 的软核处理器系统。

  扩展优化式架构支持可扩展性并提高生产率

  所有 7 系列 FPGA 共享扩展优化式架构,采用高性能、低功耗 (HPL) 28 nm 工艺制造而成。 该创新使设计能够在Artix™-7、Kintex-7与 Virtex®-7 FPGA 系列之间移植。系统制造商能够对成功设计方案轻松进行扩展,以满足要求更低成本、更低功耗或更高性能和容量的相邻市场的需求。作为支持即插即用型 FPGA 设计的互联策略的一部分,AMBA 4、AXI4 规范的实施进一步提高了 IP 重用效率、移植性和可预测性。

  Kintex-7 FPGA 功能与容量

  表1 Kintex-7 FPGA 功能与容量简介

赛灵思

  业界领先的系统解决方案

  Kintex-7 FPGA 能够以不同价位提供高信号处理能力和低功耗,从而满足长期演进 (LTE) 无线网络的部署要求。这些器件可以满足新一代高清 (HD) 3D 平板显示器严格的功耗与成本要求。 Kintex-7 系列也可提供新一代广播视频点播系统所需的串行带宽。

  查看 Kintex-7 FPGA 如何为您的下一个设计带来益处

  表2 Kintex-7 FPGA应用说明

赛灵思
 
打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分