买台积电都嫌贵的光刻机,大力推玻璃基板,英特尔代工的野心和危机

描述

电子发烧友网报道(文/吴子鹏)此前,台积电高级副总裁张晓强在技术研讨会上表示,“ASML最新的高数值孔径极紫外光刻机(high-NA EUV)价格实在太高了,台积电目前的极紫外设备(EUV)足以应对2026年末将推出的A16节点技术需求。”
 
不过,有外媒报道称,ASML截至明年上半年绝大部分high-NA EUV设备的订单已经由英特尔承包,包括今年计划生产的五套设备将全部运给这家美国芯片制造商。目前,英特尔方面已经完成世界首台high-NA EUV光刻机的安装。
 
另外,英特尔正在大力推动玻璃基板,已加大了与多家设备和材料供应商的订单,以生产基于玻璃基板技术的下一代先进封装,预计将于2030年投入量产。
 
从这些动作可以看出,英特尔在晶圆代工和先进封装方面有很大的野心,不过如此大的投入和前瞻布局,风险也不小。
 

英特尔的代工业务急需破局

根据英特尔此前发布的2024年第一季度业绩,该季度英特尔总营收127亿美元,同比增长9%,符合业绩指引。然而,英特尔代工(Intel Foundry)部门第一季营收同比下滑10%至44亿美元,营业亏损25亿美元,主要因晶圆厂建置成本极高。按照英特尔的预测,至少要到2030年才能够实现晶圆代工业务的盈亏平衡。
 
据介绍,英特尔代工正在部署一种全新且为全球首创的全栈解决方案支持方法,以加速上市,引领行业从“片上系统”向“晶片系统”转型。目前,英特尔主推的代工服务是Intel3、Intel18A和Intel16A。其中,Intel3是Intel4的改进版,性能功耗比提升了17%,增加密集程度更高的库、改进驱动器电流和互连,且产量更高;Intel18A采用了RibbonFET全环绕栅极晶体管技术,这是英特尔自2011年FinFET以来的首个全新晶体管架构,与 FinFET 相比实现晶体管获得更高的性能,以及更低的功耗。另外,英特尔在Intel18A工艺上引入了业界首个背面电能传输网络PowerVia,优化了信号传输,并提供了更好的面积效率,从而能明显提升芯片的性能。去年底英特尔首席执行官Pat Gelsinger曾透露,Intel18A制程已提前实现量产,该公司计划在明年中旬发布Intel 18A制程处理器产品。
 
对于Intel18A工艺的性能,Pat Gelsinger表示,“即将推出的18A工艺节点,实质上是1.8nm技术,可能会超越TSMC的2nm芯片。”不过,和台积电在设备选择上有明显的差异,台积电预计在A16上继续使用现有的EUV光刻机,而英特尔现在已经买进high-NA EUV光刻机。ASML透露,high-NA EUV光刻机的价格大概为3.8亿美元,是现有EUV光刻机(约1.83亿美元)的两倍多。目前ASML已从英特尔和SK海力士等公司获得了high-NA EUV光刻机的订单,数量在10至20台之间。
 
接近台积电方面的人士称,台积电可能要到A10工艺才会开始使用high-NA EUV光刻机,时间节点可能到2030年。三星方面可能会提前,预计会在2028年之前引入。从这方面来看,英特尔确实是下了决心并走了险棋。
 
然而,虽然英特尔对代工业务野心勃勃,但其不能不重视持续以来的高额亏损。实际上,2023年,英特尔代工全年的亏损为70亿美元,如果按照第一季度的表现,那么2024年代工业务亏损必将超过这一数值,给英特尔的运营带来更大的压力。并且,短期来看英特尔很难对台积电的代工地位发起冲击。研究机构Counterpoint 的报告显示,2023年第四季度台积电独占全球晶圆代工市场61%份额,依然占据着绝对的市场主导地位。作为对比,全球前五大晶圆代工厂在该季度整体的市场占比为88.8%,除台积电外还有三星的14%,格芯、联电和中芯国际分别是6%、6%和5%。因此,英特尔要赶超的对手还有很多。更重要的是,作为全球晶圆代工的第二名,三星也是在设备和新技术上比较激进的代表。
 

英特尔积极推动玻璃基板先进封装

在英特尔代工业务介绍中提到,该公司提供高级节点芯片、封装解决方案和弹性供应,以帮助在关键行业中获得创新地位。因此,对于英特尔大力发展的代工业务来说,先进封装也非常重要。
 
实际上,这是一条台积电已经走得很成功的路。目前,台积电先进封装技术在高性能计算芯片制造的过程价值量越来越高,也得到了市场的广泛认可。据悉,由于人工智能技术的飞速发展,数据中心GPU需求激增,目前台积电面临CoWoS先进封装技术的产能危机。最新的CoWoS技术中介层面积增加、HBM容量提升,以排列更多的芯片、容纳更多的晶体管从而提高系统性能。
 
不过,目前台积电CoWoS依然是塑料基板+硅中介层的方案,有着膨胀与翘曲等限制。有业内专家表示,目前台积电CoWoS地位不可撼动,该公司似乎还没有发展玻璃基板的想法。因此,玻璃基板是英特尔和三星从先进封装超越台积电的最佳路径。
 
英特尔是业内最先推动玻璃基板发展的,资料显示,英特尔开发玻璃基板已有近十年的历史。过去十年投资约10亿美元,在亚利桑那州工厂建立玻璃基板研发线和供应链,预计在2026至2030年推出完整的玻璃基板方案,使单一封装纳入更多的晶体管,并继续推进摩尔定律。
 
之所以英特尔大力推动玻璃基板的发展,原因是玻璃基板有诸多性能优势。玻璃基板芯片的功率和数据连接能力相当于有机基板芯片的10倍,所以它拥有更强的数据吞吐能力。另外,玻璃基板芯片传输时能耗浪费更少,拥有更高的传输速度、更节能,而且它还可以承受更高的温度。
 
不过,就像在先进制程领域一样,英特尔同样要面临三星的冲击。专家表示,预计三星在玻璃基板技术上的进展可能快于英特尔,因为其用途更明确,而英特尔需要满足更高的标准。据悉,三星目前内部正在加大研发力量,预计在2026年推出基于玻璃基板的先进封装,抢在英特尔的前面。
 

结语

英特尔在晶圆代工领域投入了重金,可谓是志在必得。然而,英特尔要引领全球晶圆代工市场的发展,第一步并不是超越台积电,而是打败三星这个第二名。和英特尔一样,三星在晶圆代工领域同样激进,且很有财力。在持续巨额的亏损下,英特尔需要先和三星进行一场白刃战,然后才能够想超越台积电的事情,这让英特尔大力投资的晶圆代工业务有着巨大的风险。
打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分