基于FPGA开发板的多功能液晶显示控制器设计与实现

FPGA/ASIC技术

192人已加入

描述

  通过对LCD1602/LCD12864显示模块控制时序和指令集的对比分析,利用Verilog HDL描述语言完成了多功能LCD显示控制模块的IP核设计.所设计的LCD显示控制器具有很好的可移植性,只需通过端口的使能参数配置便可以驱动 LCD1602/LCD12864模块实现字符或图形的实时显示,并且该多功能LCD控制器的可行性也在Cyclone II系列的EP2C5T144C8 FPGA芯片上得到了很好的验证。

  在许多嵌入式系统应用领域,都需要友好的人机信息界面,传统的数码管或者发光二极管显示方式已经不能满足实际的现实需求,而LCD1602/LCD12864液晶显示模块具有低压为功耗、寿命长、显示信息量大、超薄等显著优点,被广泛应用于智能仪器、仪表等各种便携式电子信息产品上来实现数字、字符和图形的可视化信息显示。目前,针对LCD1602/LCD12864液晶模块的显控技术主要有两种方式,首先是基于各种微处理器(8051/ARM/Nois II/SPCE061)的微程序控制方式,这种软件组态的LCD模块控制技术应用广泛,但是这种控制方式会占用处理器资源、速度慢、实时显示性能较差。其次,就是使用CPLD/FPGA开发的独立显示控制器,这种纯硬件结构的独立显示控制器可移植性差、功能单一,很难适应不同类型的液晶屏及不同的显示模式。

  因此,本文就提出了一个基于FPGA的多功能LCD显示器设计方案,只需要通过端口的使能参数配置便可以驱动LCD1602模块显示字符、LCD12864模块实现字符或图形的实时显示,并在FPGA开发板上进行该设计的可行性验证。下图是原文目录及部分截图,本文详情:基于FPGA的多功能LCD显示控制器设计

  1 多功能显示控制器的端口设计

控制器

  2 多功能显示控制器的操作时序

控制器

  3 多功能显示控制器的状态机设计

  4 多功能显示控制器的设计验证

  5 结论

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
评论(0)
发评论
elecfans网友 2015-06-15
0 回复 举报
楼主,能详细说一下怎么驱动12864整个步骤吗? 收起回复

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分