CMOS图像传感器设计考虑因素及典型应用方案

MEMS/传感技术

1280人已加入

描述

  1、引言

   CCD图像传感器由于灵敏度高、噪声低,逐步成为图像传感器的主流。但由于工艺上的原因,敏感元件和信号处理电路不能集成在同一芯片上,造成由CCD图像 传感器组装的摄像机体积大、功耗大。CMOS图像传感器以其体积小、功耗低在图像传感器市场上独树一帜。但最初市场上的CMOS图像传感器,一直没有摆脱 光照灵敏度低和图像分辨率低的缺点,图像质量还无法与CCD图像传感器相比。

  如果把CMOS图像传感器的光照灵敏度再提高5倍~10 倍,把噪声进一步降低,CMOS图像传感器的图像质量就可以达到或略微超过CCD图像传感器的水平,同时能保持体积小、重量轻、功耗低、集成度高、价位低 等优点,如此,CMOS图像传感器取代CCD图像传感器就会成为事实。

  由于CMOS图像传感器的应用,新一代图像系统的开发研制得到了 极大的发展,并且随着经济规模的形成,其生产成本也得到降低。现在,CMOS图像传感器的画面质量也能与CCD图像传感器相媲美,这主要归功于图像传感器 芯片设计的改进,以及亚微米和深亚微米级设计增加了像素内部的新功能。实际上,更确切地说,CMOS图像传感器应当是一个图像系统。一 个典型的CMOS图像传感器通常包含:一个图像传感器核心(是将离散信号电平多路传输到一个单一的输出,这与CCD图像传感器很相似),所有的时序逻辑、 单一时钟及芯片内的可编程功能,比如增益调节、积分时间、窗口和模数转换器。事实上,当一位设计者购买了CMOS图像传感器后,他得到的是一个包括图像阵 列逻辑寄存器、存储器、定时脉冲发生器和转换器在内的全部系统。与传统的CCD 图像系统相比,把整个图像系统集成在一块芯片上不仅降低了功耗,而且具有重量较轻,占用空间减少以及总体价格更低的优点。


图1 早期的CCD图像传感器

  2、基本原理

   从某一方面来说,CMOS图像传感器在每个像素位置内都有一个放大器,这就使其能在很低的带宽情况下把离散的电荷信号包转换成电压输出,而且也仅需要在 帧速率下进行重置。CMOS图像传感器的优点之一就是它具有低的带宽,并增加了信噪比。由于制造工艺的限制,早先的CMOS图像传感器无法将放大器放在像 素位置以内。这种被称为PPS的技术,噪声性能很不理想,而且还引来对CMOS图像传感器的种种干扰。

  然而今天,随着制作工艺的提高, 使在像素内部增加复杂功能的想法成为可能。现在,在像素位置以内已经能增加诸如电子开关、互阻抗放大器和用来降低固定图形噪声的相关双采样保持电路以及消 除噪声等多种附加功能。实际上,在Conexant公司(前Rockwell半导体公司)的一台先进的CMOS 摄像机所用的CMOS图传感器上,每一个像素中都设计并使用了6个晶体管,测试到的读出噪声只有1均方根电子。不过,随着像素内电路数量的不断增加,留给 感光二极管的空间逐渐减少,为了避免这个比例(又称占空因数或填充系数)的下降,一般都使用微透镜,这是因为每个像素位置上的微小透镜都能改变入射光线的 方向,使得本来会落到连接点或晶体管上的光线重回到对光敏感的二极管区域。

  因为电荷被限制在像素以内,所以CMOS图像传感器的另一个 固有的优点就是它的防光晕特性。在像素位置内产生的电压先是被切换到一个纵列的缓冲区内,然后再被传输到输出放大器中,因此不会发生传输过程中的电荷损耗 以及随后产生的光晕现象。它的不利因素是每个像素中放大器的阈值电压都有细小的差别,这种不均匀性就会引起固定图像噪声。然而,随着CMOS图像传感器的 结构设计和制造工艺的不断改进,这种效应已经得到显著弱化。

  这种多功能的集成化,使得许多以前无法应用图像技术的地方现在也变得可行 了,如孩子的玩具,更加分散的保安摄像机、嵌入在显示器和膝上型计算机显示器中的摄像机、带相机的移动电路、指纹识别系统、甚至于医学图像上所使用的一次 性照相机等,这些都已在某些设计者的考虑之中。

  3设计考虑

  然而,这个行业还有一 个受到普遍关注的问题,那就是测量方法,具体指标、阵列大小和特性等方面还缺乏统一的标准。每一位工程师在比较各种资料一览表时,可能会发现在一张表上列 出的是关于读出噪声或信噪比的资料,而在另一张表上可能只是强调关于动态范围或最大势阱容量的资料。因此,这就要求设计者们能够判断哪一个参数对他们最重 要,并且尽可能充分利用多产品的CMOS图像传感器家族。

  一些关键的性能参数是任何一种图像传感器都需要关注的,包括信噪比、动态范 围、噪声(固定图形噪声和读出噪声)、光学尺寸以及电压的要求。应当知道并用来对比的重要参数有:最大势阱容量、各种工作状态下的读出噪声、量子效率以及 暗电流,至于信噪比之类的其它参数都是由那些基本量度推导出来的。

  对于像保安摄像机一类的低照度级的应用,读出噪声和量子效应最重要。然而对于象户外摄影一类的中、高照度级的应用,比较大的最大势阱容量就显得更为重要。

   动态范围和信噪比是最容易被误解和误用的参数。动态范围是最大势阱容量与最低读出噪声的比值,它之所以引起误解,是因为读出噪声经常不是在典型的运行速 度下测得的,而且暗电流散粒噪声也常常没有被计算在内。信噪比主要决定于入射光的亮度级(事实上,在亮度很低的情况下,噪声可能比信号还要大)。

   所以,信噪比应该将所有的噪声源都考虑在内,有些资料一览表中常常忽略散粒噪声,而它恰恰是中、高信号电平的主要噪声来源。而SNRDARK得到说明, 实际上与动态范围没有什么两样。数字信噪比或数字动态范围是另一个容易引起混淆的概念,它表明的只是模拟/数字(A/D)转换器的一个特性。虽然这可能很 重要,但它并不能精确地描述图像的质量。同时我们也应清楚地认识到,当图像传感器具有多个可调模拟增益设置时,模拟/数字转换器的分辨率不会对图像传感器 的动态范围产生限制。

  光学尺寸的概念的模糊,是由于传统观念而致。使用光导摄像管只能在部分范围内产生有用的图像。它的计算包括度量单 位的转换和向上舍入的方法。采用向上舍入的方法,先以毫米为单位测量图像传感器的对角线除以16,就能得到以英寸为单位的光学尺寸。例如0.97cm的尺 寸是1.27cm而不是 0.85cm。假如你选择了一个光学尺寸为0.85cm的图像传感器,很可能出现图像的四周角落上的映影(阴影)现象。这是因为有些资料一览表欺骗性地使 用了向下舍入的方法。例如,将0.97cm的尺寸称为0.85cm,理由很简单:0.85cm光学尺寸的图像传感器的价格要比1.27cm光学尺寸的图像 传感器的价格低得多,但是这对系统工作性能产生不利影响。所以,设计者应该通过计算试用各种不同的图像传感器来得到想要的性能。

   CMOS图像传感器的一个很大的优点就是它只要求一个单电压来驱动整个装置。不过设计者仍应谨慎地布置电路板驱动芯片。根据实际要求,数字电压和模拟电压 之间尽可能地分离开以防止串扰。因此良好的电路板设计,接地和屏蔽就显得非常重要。尽管这种图像传感器是一个CMOS装置并具有标准的输入/输出(I /O)电压,但它实际的输入信号相当小,而且对噪声也很敏感。

  到目前为止,已设计出高集成度单芯片CMOS图像传感器。设计者力求使有 关图像的应用更容易实现多功能,包括自动增益控制(AGC)、自动曝光控制(AEC)、自动平衡(AMB)、伽玛样正、背景补偿和自动黑电平校正。所有的 彩色矩阵处理功能都集成在芯片中。CMOS图像传感器允许片上的寄存器通过I2C总线对摄像机编程,具有动态范围宽、抗浮散且几乎没有拖影的优点。

  4、CMOS APS的潜在优点和设计方法

  4.1CMOS APS胜过CCD图像传感器的潜在优点

  CMOS APS胜过CCD图像传感器的潜在优点包括[1]~[5]:

  1)消除了电荷反复转移的麻烦,免除了在辐射条件下电荷转移效率(CTE)的退化和下降。

  2)工作电流很小,可以防止单一振动和信号闭锁。

  3)在集成电路芯片中可进行信号处理,因此可提供芯迹线,模/数转换的自调节,也能提供由电压漂移引起的辐射调节。

  4.2 CMOS APS的设计方法

  CMOS APS的设计方法包括:

  1)为了降低暗电流而进行研制创新的像素结构。

  2)使用耐辐射的铸造方,再研制和开发中等尺寸“dumb”(哑)成像仪(通过反复地开发最佳像素结构)。

  3)研制在芯片上进行信号处理的器件,以适应自动调节本身电压Vt的漂移和动态范围的损失。

  4)研制和开发耐辐射(单一扰动环境)的定时和控制装置。

  5)研制和加固耐辐射的模/数转换器。

  6)寻找低温工作条件,以便在承受最大幅射强度时,找到并证实最佳的工作温度。

  7)研制和开发大尺寸、全数字化、耐辐射的CMOS  APS,以便生产。

  8)测试、评价和鉴定该器件的性能。

  9)引入当代最高水平的组合式光学通信/成像系统测试台。

   CMOS图像传感器的前途是光明的,随着多媒体、数字电视、可视通讯等市场的增加,CMOS图像传感器的应用前景更加广阔。CMOS APS为MIS/CCD图像传感器设计提供了另一选择方案,它把电荷转换成电压所需的晶体管装在每个像素内。在这种器件内均不必进行电荷转移, 因为数据读取是在单个像素内完成的。与CCD图像传感器相比,这种器件有很成熟的CMOS集成电路工艺,在降低成本方面有潜力。预期CMOS APS在许多非科学应用领域内将最终替代CCD图像传感器。

  像素传感器电路结构设计详情参见:CMOS图像传感器的基本原理及设计考虑

  全面了解了COMS传感器的原理及设计考虑的主要因素,下面介绍几种CMOS图像传感器应用方案和参考设计。

安森美CMOS图像传感器应用方案

 

  近年来,随着CMOS工艺技术的不断改进,CMOS传感器的应用范围也越来越广泛,包括数码相机、电脑摄像头、视频电话、手机、视频会议、智能型安保系统、汽车倒车视像雷达、玩具,以及工业、医疗等应有尽有。实际上,CMOS图像传感器最初应用于工业图像处理;在那些旨在提高生产率、质量和生产工艺经济性的全新自动化解决方案中,它至今仍然是至关重要的图像解决方案。

  安森美半导体的标准及定制CMOS图像传感器方案

  应用要求的不断提升,CMOS传感器的成像质量也在不断提高,安森美半导体利用其在这一领域的专利技术和丰富的经验,以创新的设计,采用标准CMOS工艺技术开发出了高性能CMOS有源像素传感器等多种产品。

  过去15年来,安森美半导体一直在为数百万像素数码摄影、达晶圆级的大面积传感器、超高速传感器、机器视觉成像、线性和二维条码成像、医用X射线成像、单芯片一体化摄像机,以及太空和核应用的抗辐射CMOS图像传感器提供行之有效的解决方案。

  1)标准图像传感器

  新兴应用需求的不断增长为精心设计的CMOS图像传感器带来了众多商机。除此高端机器视觉应用,二维(2D)条形码阅读器、高端保安摄像方案以及新兴的 智能交通管理系统(ITS)的市场也在迅速扩大。安森美半导体新的VITA系列能满足这些新市场的需求。VITA提供可配置性、灵活性和操作方便性。

  图1 VITA25K 2,500万像素、53 fps全局快门CMOS图像传感器示例

  VITA 系列CMOS图像传感器采用可配置操作模式,易于操作,提供带双斜率读数的流水线型和触发式全局快门、带相关双采样(CDS)的滚动快门、LVDS或 CMOS输出、10位或8位精度、多个并行窗口读数等特性。应用范围包括机器视觉、条形码、智能交通管理系统(ITS)和运动监测(motion monitoring)。

  安森美半导体的另一个标准图像传感器系列是LUPA。该系列是高速CMOS图像传感器的深入研发和丰富经验的结晶。LUPA器件提供的分辨率高达2048 × 2048,帧速率最高达500 fps。这些器件的功耗低至150 mW,完全没有光晕(Blooming)或滞后,为高度可靠、高灵敏度图像传感器创建了一个完善的基础。LUPA系列包含LUPA 300、LUPA 1300-2、LUPA 3000和LUPA 4000。

  LUPA实现了前所未有的灵敏度,具有百万像素系列图像传感器的分辨率,其它特性包括低功耗、无光晕或图像滞后、采用流水线式全局快门,可广泛应用于高速机器视觉、工业成像、医疗成像、国防和运动分析等领域。

  另外一个CMOS标准图像传感器的系列是IBIS,包括IBIS4和IBIS5图像传感器。IBIS4图像传感器的特点是图像质量高、三晶体管(3T) 像素、滚动快门、高填充因数和用来提高动态范围的双斜率读数。IBIS5 CMOS图像传感器进过优化,是专门为机器视觉相机而开发的。

  图2  IBIS系列图像传感器

  IBIS4的像素分辨率高达1,400万,图像质量高,具有滚动快门和灵活的窗口和多斜率功能;IBIS5采用触发式全局快门,操作模式灵活,具有灵活的窗口和多斜率功能。它们的应用包括机器视觉、生物测量和文档扫描等。

  安森美半导体标准系列的STAR图像传感器系列,是开发抗辐射CMOS像素和读出电子产品过程中广泛研究和辐照实验的成果。这些结构的总辐照剂量高达 300 krad。抗辐射CMOS图像传感器可以在辐射环境下发挥重要的作用,而普通CMOS或CCD成像无法做到这一点,而使用CRT显像管又太昂贵、过重或体 积过大。STAR系列包括三个产品:STAR 250、STAR 1000和HAS2,每个产品都有自己独到的优势。

  总体上讲,STAR高达100万像素分辨率,具有高抗辐射、高灵敏度、低噪声的优势;可以应用在太空科学、核检验、星跟踪器和太阳传感器等方面。

  2)定制图像传感器

  安森美半导体还提供定制和针对特定应用的CMOS图像传感器,通过开发具有最先进性能的产品,帮助合作伙伴领先于竞争对手。定制设计可根据内部开发的参数功能块或采用全新的方法实现前所未有的性能和功能。

  安森美半导体的专利设计和制造技术有助于优化关键参数,并与客户的应用实现完美契合。像素的大小、形状和速度、饱和度和噪声水平、动态范围和灵敏度、输出和帧速率都可以根据每个客户的需求来设定。

  定制解决方案充分考虑了规格的灵活性,可实现最终应用的关键差别化,保证整个产品寿命期的有效性;高层次的架构和操作灵活性可以提高性能。定制方案的应 用包括高分辨率摄影、高速成像、条形码阅读、机器视觉、医疗成像,尤其是需要低功耗、智能传感或抗辐射的阵列或线性传感器解决方案的任何应用。

  安森美半导体为客户提供的典型自定义设计周期流程是客户提出最初需求,然后由安森美半导体提出建议,再经过预先研究、产品定义、产品设计、产品设计审查、原型制造、装配和功能测试、原型、性能表征、性能表征报告等过程,最后做出生产规划和生产计划,进行预生产和投产。

  3)智能手机CMOS图像传感器

  安森美半导体为高性能智能手机传感器开发了创新的 1.1 µm像素技术,先进的像素和颜色滤波阵列 (CFA) 处理,使其灵敏度比前代提升近 20%。世界一流的的灵敏度能捕捉到更多光,使图像质量显著提高,尤其是在微光的情况下。新一代1,300万像素(MP)图像传感器AR1335,扩充其宽广的图像产品系列。基于先进的1.1微米(µm)像素技术,AR1335确立了灵敏度新基准,量子效率 (QE) 和线性电位井容量也得以显著提升。这图像传感器专为智能手机相机应用而设计,带来近乎数码相机的成像优质体验,同时也针对移动设备优化了功耗和占板空间。

  AR1335为智能手机用户带来绝佳的成像体验。一流的灵敏度、QE和线性电位井容量让客户领略逼真的微光成像。超清13 MP分辨率支持高质量变焦及清晰的场景细节还原。无论是4K 超高清(UHD)模式,每秒30帧的影院模式,还是每秒60帧的1080P高清模式,均可呈现专业视频品质。极高的32°主光线角度(CRA)支持低垂直 高度应用。

  安森美半导体图像传感器部消费影像副总裁Shung Chieh说:“AR1335图像传感器是工程创意和客户导向的结晶成果。我们提升技术,加上智能设计和优化的生产工艺,为那些希望用自己的产品提供全面、丰富拍照体验的智能手机制造商带来高性价比的解决方案。”

  总结

  安森美半导体提供对多重终端市场的完整的一维和二维CMOS图像传感器产品,其中既有系列标准图像传感器,也有定制及专用产品。标准系列包括最高像素 2,500万、提供10位或8位精度的VITA系列,能以数百万像素分辨率提供最高500 fps帧速率的LUPA系列,提供最高1,400万像素分辨率及高图像质量的IBIS系列,以及抗辐射及提供高灵敏度的STAR系列。此外,安森美半导体为客户提供定制及专用CMOS图像传感器,帮助客户以独特产品及一流性能领先竞争对手。今后,数百万像素数码摄影及电影摄影、机器视觉、线性及二维条形码成像、工业及医疗成像、生物测定及计算及军事/航空市场将会有更多应用采用安森美半导体的图像传感器。

思比科系列CMOS图像传感器应用方案

  近年来,拍照手机、电脑摄像头(PC-CAM)、监控等领域飞速发展,需求量日渐增长,CMOS图像传感器(CIS)作为这些应用的核心元件, 其市场需求也越来越大。CIS芯片集光电、模拟电路和数字电路于一体,其设计、生产、测试、封装以及最终应用与传统IC相比,都有其特别的地方,因此行业 门槛也相对较高。

  北京思比科作为中国本土企业,是唯一基于自主专利技术开发成功高端CMOS图像传感器芯片并实现规模化生产的企业,近几年开发成功了一系列高品质图像传感器芯片。以下针对拍照手机,PC-CAM和监控三个领域的应用,具体介绍思比科的系列产品。

  1.拍照手机

   拍照手机可以实现预览、拍照、回显、录像和回放的全部功能。从传感器应用的角度,拍照手机可以分为以下两类:第一类是 YUV型,即传感器输出标准的YUV图像数据,多媒体处理器接收后完成图像的编解码等后处理,传感器和多媒体处理器相对独立,SP80818(1/8 inch VGA)和SP82318(1/3.2 inch 2M)就是针对这种应用设计的。第二类是Raw Data型,传感器只输出原始数据,所有的图像处理和自动控制都由多媒体处理器来主导完成,SP80708(1/7 inch VGA)和SP83308(1/3 inch 3.2M)符合这种应用。以下分别介绍两种方案。

  1.1 YUV型

   YUV型的方案是目前中低端拍照手机普遍采用的一种方案,主要集中在VGA,1.3M和2M的拍照手机,要求传感器输出标准的YUV数据。传感器除了完 成图像采集的功能外,还要完成图像处理和图像转换的功能。图1是SP80818和SP82318的结构框图以及YUV手机的结构图。其中传感器核 (Sensor Core)部分包含有感光阵列、曝光控制和读出电路、可变增益放大器(PGA)和模数转换器(ADC),主要完成图像采集功能。传感器核输出的原始图像数 据送到图像处理器(ISP),ISP完成一系列图像处理和图像转换功能,主要包含以下处理:灰度补偿、数字增益和白平衡、镜头阴影修正、坏点检测和修正、 彩色插值、平滑处理和轮廓增强、GAMMA校正、色彩校正、亮度、饱和度和对比度调节、图像尺寸变换、色彩空间转换、图像数据打包输出。控制模块完成自动 曝光控制,自动白平衡,50Hz/60Hz闪烁检测等功能,对传感器核和ISP进行控制,以及和多媒体处理器的通信(多媒体处理器通过串行总线接口访问传 感器内部寄存器,实现对传感器的控制。)

  FPGA

  图1: YUV传感器SP0818和SP2318的结构框图及应用。

  虽然绝大多数主流的传感器设计公司都是按照以上功能来设计自己的产品,但由于设计能力和所采用的工艺性能的不同,市面上产品的性价比仍然会存在较大的差距。

   思比科在设计这些产品之前,已经做了大量的基础研究,从工艺,算法到电路原理都有了很多的突破,形成了以 SuperPix和SuperImage为核心的技术特点,相关专利已经达到数十件以上,SuperPix技术具体体现在高性能的像素单元(Pixel) 设计,高精度、高速度和超低功耗的CDS电路,PGA电路和ADC电路设计;SuperImage技术则主要包含一系列效果优良、实现简洁的算法,如自动 白平衡,自动曝光控制,彩色插值,平滑去噪,轮廓增强等。

  YUV方案的优点在于照相模块的独立性和灵活性。工程师很容易完成不同的传感器和多媒体处理器之间的对接,而复杂繁琐的图像调试工作已经由照相模块的供应商完成,工程师只需要将多媒体处理器中传感器的配置参数更新就可以得到理想的图像效果。

   然而,随着手机市场的竞争加剧,客户对传感器的性价比要求越来越高,这种方案的缺点也越来越明显。由于传感器工艺的特殊性决定了在设计和制造过程中,不 能使用超过4层的金属连线资源,这将大大增加逻辑关系复杂的图像处理电路的面积,从而增加传感器的成本。而另一方面,为了降低成本,传感器的感光单元尺寸 越来越小,为了得到更好的图像质量,需要越来越复杂的算法对图像进行处理。基于以上原因,ISP的功能已经开始从传感器转移到多媒体处理器。对于规模庞大 的多媒体处理器来说,ISP的集成对其成本不会有明显增加,而传感器的成本则有大幅度下降。因此?Raw Data方案也越来越被关注。

  1.2 Raw Data型

   Raw Data型方案是最有争议的一个方案,系统只要求传感器输出原始数据,后端多媒体处理器完成所有ISP和图像编解码等功能,和普通的数码相机的解决方案一 样,而多媒体处理器则类似于数码相机中的核心处理器。 SP80708和SP83308就是基于这种应用方案设计的,其结构框图见图2。

  FPGA

  图2:Raw Data传感器SP0708和SP3308的结构框图。

  从结构上看,Raw Data型传感器实际是YUV 传感器去掉ISP和部分传感器控制功能之后的简化产品。

   这种方案在最低端手机和最高端手机中都有应用。如联发科的MTK6226和展讯6600D+华邦99685,就属于这一方案在低端手机上的应用。而可以 支持到3.2M Raw Data 传感器,Broadcom的BCM2820甚至可以支持8M像素的Raw Data 传感器,很多高端手机采用了这些方案。

  对于3.2M以上的高像素拍照手机,Raw Data方案从系统设计的角度讲是合理的。高像素的拍照手机为了取代传统数码相机,对图像质量的要求已经达到专业级的要求。在提高模拟前端图像采集性能的 同时,后端复杂的图像处理技术也是必不可少的。要把这些功能复杂的ISP集成到传感器中,几乎很难实现,比如复杂的彩色插值算法要求保存一帧的Raw Data,需要很大的缓冲,传感器中不可能做到;而性能越来越高的多媒体处理器,无论是处理能力,还是配备的缓冲,都非常适合来完成这个功能。从整个系统 的结构和性价比来看,这种方案也是最有竞争力的。

  对于低端的VGA拍照手机,传感器的成本压力越来越大。Raw Data型的传感器是降低成本最有效的方案。联发科推出的一系列平台方案就恰好集成了图像处理的功能,MTK6226就是最典型的代表。

   Raw Data型方案目前也存在缺点,它需要传感器厂商和多媒体芯片或是平台厂商紧密配合,才能很顺利的完成系统集成。尤其是方案设计阶段,对不同的传感器,甚 至相同的传感器搭配不同的镜头,都需要基于多媒体芯片重新调试图像效果。传感器厂商只有得到这些平台厂商的配合,才能完成design-in。

   目前手机市场的情况是YUV型和Raw Data型两种方案并存。思比科也有针对性的开发出了不同的产品,来满足客户多元化的需求。其中SP0818和SP2318满足YUV型手机客户的需求, 而SP80708和SP83308则符合Raw Data型手机客户的要求。

  2.PC摄像头

  相对手机而言,PC摄像头(PC-CAM)是一个较为简单的应用。由于英特网的普及以及越来越流行的网络视频应用,PC-CAM的需求量也非常庞大。SP80708在满足手机应用的同时,也因为高性价比的优势而被大量使用在PC-CAM上。

  PC-CAM的方案非常简单,一颗DSP搭配一颗传感器,就组成了一个PC-CAM方案。图3是一个典型的PC-CAM的结构图。

  FPGA

  图3:典型的PC-CAM方案。

   SP80708采用了思比科最新的像素技术,感光度高达1.2V,足以满足PC-CAM对低照度的严格要求;色彩还原性好,原始数据经过简单处理就可以 达到理想的效果;而像素尺寸缩小到3.2umx3.2um,可以较大幅度降低传感器成本。同时内嵌思比科特有的曝光控制算法,很大程度上提高了动态范围, 在各种光照条件的复杂环境下,都能达到理想的曝光效果。

  PC-CAM也有很多种类。从传感器的分辨率来分,有30万像素,130万像素 和200万像素的PC-CAM,早期甚至还有10万像素的产品。由于受到USB带宽的限制,PC-CAM很少有200万像素以上的产品。从传感器的类型来 分,有使用Raw Data 传感器的PC-CAM,也有使用YUV 传感器的产品;绝大多数DSP都集成了ISP的功能,但多数的ISP只支持到VGA,所以大多数30万像素的PC-CAM都采用Raw Data的传感器,或是采用YUV 传感器的Raw Data输出,而130万像素和200万像素的产品则多使用YUV 传感器。

  从 DSP的功能来分,PC-CAM又可以分为USB1.1和USB2.0两种,因为USB1.1的带款很窄,视频在传到 PC之前需要压缩,所以USB1.1的DSP都集成了JPEG压缩的模块;而USB2.0则能够传输未经压缩的VGA视频流,因此USB2.0的PC- CAM视频质量优于USB1.1的产品。有些USB2.0的DSP也集成了JPEG模块,向下兼容USB1.1的应用,以保证产品能应用在非常低端的PC 上。

  随着市场的多元化发展,PC-CAM产品的种类也越来越多。如有带语音输入功能的、有带自动聚焦和跟踪功能的。而最近出现的“免驱动”的PC-CAM,则有可能成为未来的主流产品。

  3.监控系统

   传统的监控系统几乎都采用CCD。随着CMOS 传感器技术的发展,CMOS的品质已经达到CCD相当的水平。而监控系统应用的普及,也面临着降低成本的压力。低成本的CMOS取代CCD在监控系统上应 用的趋势已经非常明显。图4是采用CMOS 传感器的监控系统的典型结构。

  FPGA

  图4: IP camera解决方案。

   传感器将采集到的高品质图像数据转换成标准YUV格式,传给后边的处理器,处理器完成对视频的压缩,并通过有线或无线网络,将压缩后的视频流发送出去。 因为监控系统的应用环境差别比较大,而且都是处于全天候工作状态,因此对传感器的要求非常高,比如传感器的动态范围,灵敏度,温度特性等,都比普通的传感 器高出很多。思比科目前正在积极开发这类产品,预计在年内推出新一代宽动态范围,高灵敏度的传感器,满足监控领域的应用需求。

  思比科的产品完全根据客户的需求设计,具有性价比高,应用方便的特点。表1总结了思比科系列产品的技术指标,这些产品适用于各种解决方案,可以满足绝大多数客户的需求。

  表1: 思比科系列产品指标。

  FPGA

基于USB传输及CMOS图像传感器的指纹识别仪的实现

  引 言

  CMOS图像传感器是近年来得到快速发展的一种新型固态图像传感器。它将图像传感部分和控制电路高度集成在同一芯片里,体积明显减小、功耗也大大降低,满足了对高度小型化、低功耗成像系统的要求。与传统的CCD图像传感器相 比,CMOS图像传感器还具有集成度高、控制简单、价格低廉等诸多优点。因此随着CMOS集成电路工艺的不断进步和完善,CMOS图像传感器已经广泛应用 于各种通用图像采集系统中。同时作为一种PC机与外围设备间的高速通信接口,USB具有许多突出的有点: 连接简便,可热插拔,无需定位及运行安装程序,无需连接外设时关机及重启系统,实现真正的即插即用;高传输速率,USB1.1协议支持12Mb/s;不占 用系统硬件资源,能够自动检测和配置外围设备,不存在硬件冲突问题。

  因此,利用CMOS数字图像传感器与USB接口数据传输来实现的指 纹识别仪具有结构简单,体积小,便携化等优点。现将介绍利用OMniVision公司的CMOS彩色数字图像传感器OV762M和cypress公司的 EZ—USB AN2131QC USB控制传输芯片(内部集成了增强形51内核)来实现指纹信息的采集和USB传输,同时由于指纹传感器输出数据的速率(27MB/s)与USB控制器 (AN2131QC)数据传输速率(12Mb/s)的不匹配,故系统采用了SRAM和CPLD构成中间高速缓冲区。

  系统结构

  应用AN2131QC、CPLD和OV762M设计的指纹识别系统硬件框图如图1所示:

  FPGA

  图1 指纹识别硬件系统简略框架图

   首先,AN2131QC通过I2C对指纹识别传感器(OV7620)的窗口设置等参数进行配置,光学透镜把像成在OV762M的像面上后,CMOS图像 传感器(OV7620)对其进行空间采样,并按照一定的帧频连续输出8位的数字图像数据Y[7∶M](输出数字图像数据的帧同步信号为VSYNC,水平有 效信号为HREF,输出时钟信号为PCLK)。为了实现指纹传感器输出数据与USB控制器(AN2131QC)读取数据速度与时序的匹配,使用了 SRAM(IS61C1024)和CPLD构成高速缓冲区,利用此高速缓冲区将OV762M采集的指纹数据缓存。最后AN2131QC实现与上位机的 USB通信,将高速缓冲区中数据的传输到PC机进行相应图像处理。

  CMOS数字图像传感器OV7620

   CMOS数字图像传感器OV762M集成了一个664×492 的感光阵列、帧(行)控制电路、视频时序产生电路、模拟信号处理电路、A/D转换电路、数字信号输出电路及寄存器I2C编程接口。感光阵列得到原始的彩色 图像信号后,模拟处理电路完成诸如颜色分离与均衡、增益控制、gamMA校正、白电平调整等主要的信号处理工作,最后可根据需要输出多种标准的视频信号。 视频时序产生电路用于产生行同步、场同步、混合视频同步等多种同步信号和像素时钟等多种内部时钟信号,外部控制器可通过I2C总线接口设置或读取 OV762M的工作状态、工作方式以及数据的输出格式等。

  AN2131QC通过I2C总线接口设定OV762M的寄存器来控制输出帧率 在0.5帧/s~3M帧/s之间变化,输出窗口在4×2~664×492 之间可调(默认输出640×48M的标准VGA格式),设置黑白平衡等。根据指纹采集的需要,窗口输出设置为: 320×288,经过设定后的OV762M输出时序如图2 所示:

  FPGA

  图2 0V762M输出时序

   VSYNC是垂直场同步信号(也是每帧同步信号,CMOS是按列采集图像的),其下降沿表示一帧图像的开始,HREF 提供了一种有效的控制方式,当输出像素行列分别处于设定窗口之间时HREF 为有效高电平,此时输出有效的视频数据,PCLK是输出数据同步信号,上升沿输出一个有效的像素Y[7∶M]。

  基于CPLD技术的高速数据缓冲区的实现

  在由CPLD和SRAM构成的高速数据缓冲区中,CPLD充当了SRAM的控制器,其内部电路实现框图如图3所示:

  FPGA

  图3 SRAM高速缓冲区控制器的CPLD实现

   图3中ram_rd,raM_wr为输出到SRAM的读写信号线,raM_data,ram_addr为SRAM的数据地址总线;latch_f为 SRAM的读写允许信号,当为高电平时允许对SRAM写操作,为低电平时允许对SRAM读操作;两个8路三态门用于隔离总线,当对SRAM写时,输出 cpu_datA为高阻态,当对SRAM读时,将采集数据信号Y [7∶M]隔离;cpu_rds,vsync为开始读写信号,单个正脉冲将SRAM地址置0;cpu_rD作为SRAM快速读脉冲,pclk为SRAM写 脉冲;irq为写满标志,用于向上提供中断标志;地址发生器用于产生SRAM地址(IS61C1024有17根地址线)。

  FPGA

  图4 CPLD实现的仿真波形

   由图3中逻辑知道,当允许对SRAM写(latch_f=1)且采集的数据有效(href=1)时,pclk脉冲通过地址发生器产生地址(sync单个 正脉冲将SRAM地址复位到0),将采集的数据Y[7∶M]写入SRAM中,当写满(写完一帧的32M像素×288像素)时,irq信号有效,通过中断将 latch_f置低允许将SRAM数据读出(cpu_rds单个正脉冲将SRAM地址复位到0),此后cpu_rD通过地址发生器产生地址将SRAM中数 据读出到USB缓冲区。上述逻辑仿真波形如图4 所示(由于数据线和地址线较多,故只取其中部分信号时序,cpu_datA为X 表示其值根据SRAM数据总线上具体值而定),由图4 可知,CPLD实现了对SRAM的控制,与SRAM一起组成了高速数据缓冲区。

  USB控制接口芯片AN2131QC特性简介

   AN2131QC是基于USB1.1协议设计的,支持高速12Mb/s的传输速率,内嵌有增强型8051微控制器、8kB的RAM和一个智能USB内核 的收发器,它包含一个I2C总线控制器和3个8位多功能I/O口,有8位数据总线和16位地址总线用于外部RAM扩展。其结构如图5所示。

  FPGA

  图5 AN2131QC结构简图

   AN2131QC内部的USB差分收发器连接到USB总线的D+和D-上。串行接口引擎(SIE)对USB总线上串行数据进行编码和译码(即实现USB 协议的打包和解包工作),同时执行错误纠正、位填充及其它USB需要的信号标准,这种机制大大减轻了8051的工作,简化了固件的编程。内核微处理器是一 个增强型8051,其指令周期为4 个时钟周期并具有双DPTR指针,同时指令与标准8051兼容。它使用内部RAM存储固件程序和数据,上电后,主机通过USB总线将固件程序和外设特性描 述符下载到内部RAM(也可以直接从板上E2PROM上读取),然后重连接,按照下载的特性描速符进行重枚举,这种设计可以实现软件USB快速批量传输的实现。

   当采集的指纹数据导入了由SRAM和CPLD构成的高速数据缓冲缓冲区后,要通过USB接口将数据发送到上位PC机,AN2131QC必须先将数据读入 到内部USB缓冲区,因此,AN2131QC将数据传到内部USB缓冲的速度将是整个USB数据传输速度快慢的关键。为了使USB数据传输(从外部读入数 据并将之传到PC机)达到最快,需要采用很多措施,下面就设计指纹识别仪固件(AN2131QC程序)中采用的USB批量传输进行探讨。

  正常情况下,AN2131QC内核结构从外部读入数据到USB的端点缓冲区,要使用的汇编程序为:

  movx a,@dptr;读外部数据到acc寄存器incdptr;外部地址加1

  incdps;切换DPTR指针(内核有双DPTR指针,用dps进行切换)

  movx @dptr,a;将acc内容放入USB缓冲区

  incdptr;USB缓冲区地址加1

  incdps;切换DPTR指针

   由上述程序可知,数据在寄存器中完成操作后,都必须有一个“incdptr”和“incdps”指令来完成16位地址的增加和缓冲区指针切换。为了消除 这种内部消耗,使用AN2131QC提供的一种特殊的硬件指针即自动指针(只用于内部缓冲区),8051装载USB缓冲区地址到两个AUTOPTRH (高字节地址)和AUTOPTRL(低字节地址)寄存器中,向AUTODATA写入的数据就直接存入由AUTOPTR/H2L指向的地址缓冲区中,并且内 核自动增加AUTOPTR/H2L中16位地址的值。这样USB缓冲区可以像FIFO一样来顺序写入数据,节省了每次写内部USB缓冲区时的 “incdptr”指令。同时内核还提供一种快速模式(只用于对外部数据操作),此模式从外部读数据“movx a,@dptr”时,直接将外部数据总线和内部缓冲区连在一起,由于使用CPLD和SRAM构成的指纹高速缓冲区具有FIFO的性质,所以使用快速模式读 外部指纹数据时也节省了“incdptr”指令。将上述两种方式结合起来,读外部数据到内部缓冲区程序就只需要一条指令:movx @dptr,A(dptR存放AUTODATA寄存器地址),此指令需要两个8051机器周期(8个24MHz时钟周期)。这样,一个字节可以在 333ns内读入到USB端点缓冲区。

  在USB接口数据传输一侧,当PC机要对一特定端点进行读数据并发送IN令牌,如果一个IN令牌 到达时8051还没有完成向USB端点缓冲区的数据装载(读外部数据),AN2131QC就发送一个NAK握手信号来响应IN令牌,表明PC机应该在稍后 再发送一个IN令牌。为了解决这种等待从而达到最快的传输速度,可以使用双缓冲技术(端点配对),使8051在前一个数据包在USB总线上传输的时候,装 载块数据的下一个数据包。

  结 论

  利用CMOS数字图像传感器OV762M和 USB控制器AN2131QC实现的指纹仪结构简单,体积小,使用方便。指纹识别系统中使用CPLD技术实现了高速缓冲,解决了速度时序匹配问题;使用了 快速批量USB传输技术实现了数据的快速传输,使指纹数据的传输达到最高速(每帧传输只用80Ms)。使用现论述的方法实现的指纹仪采集的指纹数据经PC 机重现后效果如图6所示(左图是未经任何处理的重现,右图是经过平滑、细化等算法处理后的重现)。

  FPGA

  图6 采集指纹重现效果(处理前后)

新型CMOS图像传感器设计

  金属氧化物半导体元件(Complementary Metal-Oxide Semiconductor,CMOS)图像传感器和电荷耦合元件(Charge Coupled Device,CCD)摄像器件在20年前几乎是同时起步的。CCD是应用在摄影摄像方面的高端技术元件,CMOS则应用于较低影像品质的产品中。

  由于CCD器件有光照灵敏度高、噪音低、像素小等优点,所以在过去15年里它一直主宰着图像传感器市场。与之相反,CMOS图像传感器过去存在着像素大,信噪比小,分辨率低这些缺点,一直无法和CCD技术抗衡。但是随着大规模集成电路技术的不断发展,过去CMOS图像传感器制造工艺中不易解决的技术难关现已都能找到相应解决的途径,从而大大改善了CMOS图像传感器的图像质量。

  1 CMOS有源像素传感器

  近来 CMOS图像传感器受到重视首要原因在于过去大大低于CCD的灵敏度问题逐步得到解决。因为与CCD相比,CMOS传感器具有更好的量产性,而且容易实现包括其他逻辑电路在内的SoC(System on Chip)产品,而这在CCD中却很难实现。尤其是CMoS传感器不像CCD那样需要特殊的制造工艺,因此可直接使用面向DRAM等大批量产品的生产设备。这样一来,CMOS图像传感器就有可能形成完全不同于CCD图像传感器的成本结构。

  图1示出了有源像素CMOS图像传感器(ActivePixel Sensor,APS)的功能结构图,其中成像部分为光敏二极管阵列(Photo Diode Array)。

  FPGA

  四场效应管(4T)有源像素CMOS图像传感器的每个像素由光敏二极管、复位管T2、转移管T1、源跟随器T3和行选通开关管T4组成,如图2所示。

  FPGA

  转移管T1被用来将光敏二极管连接至源跟随器T3,并通过复位管T2与VDD相连。T3的栅极与T1和T2之间的N+扩散区相连。与3T结构的APS相比,减少了与T3的栅极相关的漏电流效应。源跟随器T3的作用是实现对信号的放大和缓冲,改善APS的噪声问题。T4是用来将信号与列总线相连。其工作过程是:首先进入“复位状态”,T2打开,对光敏二极管复位;然后进入“取样状态”,T2关闭,光照射到光敏二极管上产生光生载流子,并通过源跟随器T3放大输出;最后进入“读出状态”,这时行选通管T4打开,信号通过列总线输出。

  APS具有低读出噪声和高读出速率等优点,但像素单元结构复杂,填充系数降低,填充系数一般只有20%~30%。为了提高像素的填充系数,APS在像素的上方设置了微透镜(Micro-lenses),如图3所示。

  FPGA

  由APS阵列所获得的图像信息,经过图1中列模数转换器(Column ADC)转换为数字信号后,再经过一系列的后续处理过程,得到输出如图4所示的帧图像数据结构。

  FPGA

  2 图像的预处理过程及方法

  为了得到良好的图像质量,需要对所采集的原始图像数据进行处理。一般上,图像的预处理是在协处理器中完成的。最近,随着SoC技术的发展,可以在CMOS传感器中集成图像预处理功能.这正显示了CMOS图像传感器的优势所在。

  图像的预处理主要包括了缺陷修正、去除FPN噪声、色彩差值,图像锐化差值、光圈修正、Gamma修正等一系列处理。

  通过数字图像处理算法来实现来实现上述的图像预处理过程,其硬件平台可以是集成在SoC中的图像处理电路、ASIC图像处理芯片,或通用的DSP芯片。首先是消除图像中的缺陷,如果某一个像素中有缺陷,而导致了其输出电平被钳位于高电乎(黑点)或低电平(白点),就需要通过图像处理来进行弥补。通常是使用其周围相同颜色像素的平均值来代替该像素的输出值。

  通常情况下,不同列的列模/数转换器存在着差异,这就导致了固定模式噪声(fixed Pattern Noise,FPN)的产生。图4中Black Lines中的数据就是用来消除FPN的。协处理器会利用这一部分数据来达到消除FPN的目的。

  由于每个像素上为某种彩色滤光片,所以要通过色彩差值来得到其余两种色彩信息。Gamma修正是为了消除在电学器件和光学器件之间在信号传输上的非线性效应。

  从以上的图像处理过程可知,许多算法中使用了差值,这就导致了图像的平滑化,而为了恢复锐利的图像,就需要进行光圈修正。在图像处理中,通过边缘检测而得到的锐化边缘对差值后的平滑图像进行卷积,从而得到锐利的图像。

  3 结 语

  为了提高CMOS图像传感器的图像质量,通过对图像主要的噪声源以及图像失真的分析,本文提出了一种新型的CMOS有源像素图像传感器。该CMOS图像传感器使用4T有源像素,大大提高了图像传感器的灵敏度。通过在传感器中集成图像预处理功能,对改善图像的质量起到了很好的效果。

基于CMOS图像传感器的纳型卫星遥感系统设计

  纳型卫星是指质量在1~10kg 之间的卫星。与微型卫星相比, 纳型卫星对遥感系统在质量、体积、功耗等方面的要求更加苛刻。目前广泛用于微型卫星遥感系统的电荷耦合器件CCD很难满足纳型卫星的使用要求。CMOS图 像传感器采用标准的CMOS 技术, 继承了CMOS 技术的优点, 如静态功耗低、动态功耗与工作频率成比例、噪声容限大、抗干扰能力强、特别适合于噪声环境恶劣条件下工作、工作速度较快、只需要单一工作电源等。虽然 CMOS 器件的研究还未完全成熟, 如电离环境下暗电流稍大等问题还没有很好地解决,还不能完全取代CCD, 但不可否认CMOS 器件将是未来遥感传感器的发展方向。本文设计了一套纳型卫星CMOS 遥感系统, 并对其进行了热循环实验研究。

  1 纳型卫星遥感系统的设计

  1. 1 遥感系统总体设计

  纳星遥感系统如图1 所示, 包括镜头、CMOS图像传感器、现场可编程门阵列FPGA、静态随机存储器SRAM 和微控制器5 部分。

  FPGA

  图1 纳星遥感系统框图

  1. 2 光学系统设计

  1) 焦距设计

   遥感相机光学系统的原理如图2 所示。图中用一个透镜代表实际光学系统的透镜组, 示意了视场中地面景物的最小可分辨单元在成像面上产生一个相应的点。对于卫星遥感相机的光学系统, 因为成像物距等于卫星轨道高度h, 相对于焦距f 来说可认为是无穷远, 所以可认为光线都是近轴的平行光。这些近轴平行光通过光学系统的透镜组后, 汇聚在透镜组的焦平面上。因此, 从透镜组中心到焦点的距离, 焦距将大体上决定聚光系统的长度, 而光学系统的理论分辨率则主要由光学孔径D决定。

  FPGA

  图2 光学系统原理图

  在实际设计中, 焦距通常是根据地面分辨率和图像传感器的大小通过下式来确定的:

  FPGA

  式中: h为卫星到地面的距离, rd为CMOS图像传感器探测面半径, R为相机成像覆盖半径。

  2) 光学孔径设计

  为保证成像器件探测面获得足够的曝光量, 根据遥感光学系统的经验计算相机光学系统的光圈数:

  FPGA

  实际设计中, 一般取F≤4~5。

  遥感相机光学系统可近似为望远镜系统, 其最小分辨角, 即望远镜分辨率, 可用刚好能分辨开的两物点对系统的张角θr 表示, 根据望远镜分辨率和Rayleigh 衍射判据有如下计算式:

  FPGA

  式中λ为中心波长。光学系统在平坦地面上的理论分辨率为

  FPGA

  式中θt为地物中心对光学系统的张角。

  设计中应综合考虑式(2) 和(4) 的结果, 选定的设计参数在保证遥感系统获得足够光照的情况下,要同时满足设计分辨率的要求。

  1. 3 电子系统设计

   考虑到星地相对运动速度, 每幅图像的曝光时间约为几毫秒, 故设计中采用现场可编程门阵列(FPGA ) 对CMOS 图像传感器进行时序控制, 并将输出的图像数据保存到SRAM 中。当需要传输图像的时候, 由FPGA 将保存在SRAM 中的图像数据读出, 通过CAN总线传至星上数据处理系统。

  1) 器件选型

  CMOS 图像传感器按照像元电路可分为无源像素传感器(PPS) 和有源像素传感器(APS)。目前国际上能够买到的分辨率达到106级以上的CMOS图像传感器并不多, 价格差异也很大, 设计中应根据CMOS 图像传感器的光学要求和市场状况综合选型。为保证成像质量, 纳型卫星上宜选用CMOS 有源像素传感器。

  FPGA根据实现技术机理的 不同, 可分为反熔丝型、EPROM或EEPROM型、Flash型、SRAM型等几种。根据航天器件要求, FPGA 控制器件宜选择反熔丝型FPGA 产品。选择SRAM 时, 主要考虑图像数据量要求以及SRAM的数据端口位数、存取时间、工作温度、功耗等因素。

  CAN 总线接口的主要任务是接收星上数据处理模块发来的命令, 完成对相机的相关监控, 将获得的图像数据分时发送给星上数据处理模块。本文选用的微处理器在MCS251 系列单片机的基础上集成了CAN 控制器, 既可以实现对遥感系统简单的监控功能, 又可以方便地实现CAN 通讯功能。

  2) FPGA 控制器设计

  作为相机成像模块的控制核心, FPGA 负责产生所有重要的控制时序, 包括产生CMOS 图像传感器的工作时序, 把读出CMOS 图像传感器数据存到SRAM 中; 将存放在SRAM 中的图像数据分时输出。整个FPGA 的传输模型如图3 所示。

  FPGA

  图3 FPGA 设计模型图

   FPGA 对CMOS 图像传感器的成像控制如状态转换图4 所示。当系统启动后, FPGA 先向CMOS 图像传感器发出芯片复位指令, 芯片复位完成后, 让Reset 指针沿着像素矩阵逐行移动, 而使Read 指针保持在初始位置(第0行) , 进行读前行复位。当Reset 指针到达某一目标行, 其间所间隔的时间满足积分时间时, 即开始激活Read 指针, 并开始移动Read 指针, 进行读取。如此循环交替移动两个指针, 不断进行读间行复位、行读取、读间等待, 即可保证整个像素阵列各行都符合所要求的积分时间。

  FPGA

  图4 FPGA 状态转换图

  3) CAN 总线接口设计

   CAN 总线接口的主要任务是接收星上数据处理模块发来的命令, 监控遥感相机模块的工作状态,包括遥感相机模块的电源控制、电流监测、温度监测、曝光时间控制等功能, 并将获得的图像数据分时发送给星上数据处理模块。设计上, 星上数据处理模块发来的指令会使微控制器进入中断, 设置相应的标志。微控制器查询各标志的变化, 根据星上CAN通讯协议完成相关动作, 包括监测遥感模块工作温度、工作电流, 设置曝光时间, 进行图像数据传输等操作。

  2 纳型卫星遥感系统的热循环实验

  2. 1 实验系统及方案

  为了方便完成在设计阶段的单模块调试, 实现遥感系统地面原理与性能测试, 本文采用PC机模拟星上数据处理系统, 利用CAN 卡将CMOS 相机与PC机相连, 建立了一套CMOS遥感系统地面测试系统。

   本文采用德国Vtsch IndustrietechnikVT7034 型恒温实验箱, 在60~- 5℃温度区间内,每下降5℃采集一组暗图像。热循环实验之所以按照从高温到低温的顺序进行, 是因为实验所用恒温箱不能抽真空。如果反过来进行实验, 尽管不断向恒温箱内充氮气, 箱内微量空气中的水气仍可能凝结在相机上, 影响实验结果。

  2. 2 特征参数的提取

  1) 平均暗输出

  平均暗输出是在没有光照的条件下图像传感器输出的平均灰度值, 可由下式进行计算:

  FPGA

  其中: I i,j是图像传感器在无光照条件下输出的暗图像灰度值矩阵, M 、N 是图像传感器像素阵列的行数和列数。

  2) 暗不一致性

  理想情况下, 在无光照的时候图像传感器的输出也应该是均匀的。但是图像传感器的像素间总是存在差异的, 因此暗输出总有波动。波动的大小表明图像传感器像素性能的稳定性, 计算方法是求出暗图像各像素输出灰度值的标准差

  FPGA

  其中各符号的含义与暗噪声计算式(5) 相同。

  2. 3 实验结果及分析

  按照2. 1 所述的方案进行实验, 得到了CMOS相机在60~- 5 ℃温度区间内平均暗输出以及暗不一致性随温度的变化曲线, 分别如图5、图6 所示。

  FPGA

  图5 平均暗输出随温度的变化曲线

  FPGA

  图6 暗不一致性随温度的变化曲线

   从图中可以看出, 平均暗输出随着温度的升高大致呈上升趋势。当温度小于10 ℃时, 平均暗输出上升速度较快;10~ 15 ℃上升趋缓;从15 ℃开始略有下降,到30 ℃时达到低谷,10~30℃之间,总的来说平均暗输出值变化比较平稳; 30 ℃以上, 平均暗输出值又以较快的速度上升。本文选用的CMOS图像传感器为256 级灰度输出, 实验中平均暗输出的变化范围为7.7567~10.1092。

   平均暗输出之所以随着温度的升高大致呈上升趋势, 是因为温度升高, CMOS图像传感器及其外围电子器件的热噪声都会升高; 而平均暗输出在10~30 ℃之间变化比较平稳, 甚至在15~30 ℃之间略有下降, 是因为CMOS图像传感器在设计上的特殊考虑保证了其在常温下具有最优的工作性能;这同时也说明了这套遥感系统的电噪声主要来源于CMOS图像传感器。

  暗不一致性随温度的变化不大, 实验中其变化范围为0.6148~0.8542,比平均暗输出低一个数量级, 可以忽略。

   实验中还测试了CMOS相机的耐低温性能。关机后将相机降温至- 25 ℃,达到温度平衡后再升温至0 ℃,开机采集图像, 分析其性能变化。实验测得经受低温后, CMOS相机在0℃下的平均暗输出为7.2323, 比先前略有降低; 暗不一致性为0.8781,比先前略有增大。由于实验中暗不一致性始终比平均暗输出低一个数量级, 其变化相对平均暗输出可以忽略, 所以这个结果说明关机状态下低温环境不会对CMOS相机的成像质量产生显著的不良影响。综上所述, 本文设计的这套CMOS遥感相机在10~30℃之间平均暗输出变化平稳, 暗不一致性可以忽略,有利于对成像质量的控制和校正,是理想的成像温度范围。

  3 结论与展望

   本文设计了一套纳型卫星CMOS遥感系统, 体积为62mm×62mm×35mm,功耗小于0.6W, 质量小于150g,采用10μm像素尺寸、1024×1024像素数目的CMOS图像传感器, 光谱响应范围为400~800nm,配合焦距为50mm的镜头,在800km的太阳同步轨道上,可以实现大于150km×150km的地面覆盖面积和优于 160m 的地面分辨率,适于纳型卫星获取多光谱和彩色的普查信息。热循环实验表明, 该CMOS遥感相机能够耐受空间环境中- 25~60 ℃的卫星舱内温度变化, 在10~30 ℃的温度范围内工作稳定,可通过地面测试数据对其在空间获取的图像进行校正补偿。

  由于国际上对CMOS图像传感器在航天领域的应用研究较少, 所以设计出来的CMOS相机在上天之前, 还需要研究其热真空性能和抗辐照性能, 提出相应的补偿、加固措施。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分