FPGA差分信号缓冲的转换(IBUFDS、IBUFGDS和OBUFDS)

FPGA/ASIC技术

194人已加入

描述

IBUFDS、IBUFGDS和OBUFDS都是差分信号缓冲器,用于不同电平接口之间的缓冲和转换。

1)IBUFDS是差分输入的时候用;

2)OBUFDS是差分输出的时候用;

3)IBUFGDS则是时钟信号专用的输入缓冲器。

下面详细说明:

1、IBUFDS(DifferentialSignaling Input Buffer with Selectable I/O Interface)//差分输入时钟缓冲器

IBUFDS是一个输入缓冲器,支持低压差分信号(如LVCMOS、LVDS等)。在IBUFDS中,一个电平接口用两个独特的电平接口(I和IB)表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。

  input input output

  I IB O

  0 0 No change

  0 1 0

  1 0 1

  1 1 No change

IBUFDS instance_name (.O (user_O), .I (user_I), .IB (user_IB));

2、OBUFDS(DifferentialSignaling Output Buffer with Selectable I/O Interface)//差分输出时钟缓冲器

OBUFDS是一个输出缓冲器,支持低压差分信号。OBUFDS隔离出了内电路并向芯片上的信号提供驱动电流。它的输出用O和OB两个独立接口表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是,相位相反。

  input input output

  I IB O

  0 0 No change

  0 1 0

  1 0 1

1 1 No changeance_name (.O (user_O), .I (user_I), .IB (user_IB));

3、IBUFGDS(DedicatedDifferential Signaling Input Buffer with Selectable I/O Interface)//专用差分输入时钟缓冲器

IBUFGDS是一个连接时钟信号BUFG或DCM的专用的差分信号输入缓冲器。在IBUFGDS中,一个电平接口用两个独立的电平接口(I和IB)表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。

  input input output

  I IB O

  0 0 No change

  0 1 0

  1 0 1

  1 1 No change

  IBUFGDS instance_name (.O (user_O), .I (user_I), .IB (user_IB));

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分