宽带阻抗测量仪的设计

RF/无线

1773人已加入

描述

阻抗测量通常是向被测对象注入微小的正弦电流信号,同时通过测量电压信号,以获取相关的电阻抗信息。系统不仅要求正弦波信号波形失真小、幅值稳定,而且必须具有频率、幅值、相位可调节的功能。因此正弦波信号发生器的设计是阻抗测量系统的重要环节。

正弦波信号发生器主要有模拟式和数字式两种类型。

模拟方法实现正弦波发生器优点在于电路结构简单、相对成本较低,输出信号失真较小。但也存在明显的不足,即电路的频率、幅值调节困难。

早期的数字式信号发生器是采用向EPROM中写入固定的数字化正弦波信号值,以一定频率读取正弦波表的内存地址,将得到的数字信号进行数模转换,再进一步通过低通滤波器将输出的模拟阶梯波去除高频谐波,从而得到平滑正弦波电压信号。此方法产生的信号稳定可靠,频率、幅值改变灵活,相移补偿方便易行,但相对模拟方法电路复杂,造价较高。

直接数字频率合成技术[21],即DDS(Direct Digital Frequency Synthesizer),是近年来迅速发展起来的第三代频率合成技术。

DDS技术具有频率转换时间短、频率稳定度高、相位噪声低、相位分辨率高等突出优点。而且具有体积小,功耗低的特点,因此采用DDS技术对信号源电路进行设计是一种较为合适的方法。

1、DDS技术的优点

(1).输出频率相对带宽较宽输出频率带宽为50%f s(理论值)。但考虑到低通滤波器的特性和设计难度以及对输出信号杂散的抑制,实际的输出频率带宽仍能达到40%f s。

(2).频率转换时间短DDS是一个开环系统,无任何反馈环节,这种结构使得DDS的频率转换时间极短。事实上,在DDS的频率控制字改变之后,需经过一个时钟周期之后按照新的相位增量累加,才能实现频率的转换。因此,频率转换的时间等于频率控制字的传输时间。时钟频率越高,转换时间越短。DDS的频率转换时间可达纳秒级,比使用其它的频率合成方法都要短数个数量级。

(3).频率分辨率极高若时钟f s的频率不变,DDS的频率分辨率就由相位累加器的位数N决定。只要增加相位累加器的位数N即可获得任意小的频率分辨率。目前,大多数DDS的分辨率在1Hz数量级,许多小于1MHz甚至更小。

(4).相位变化连续改变DDS输出频率,实际上改变的每一个时钟周期的相位增量,相位函数的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号相位的连续性。

(5).输出波形的灵活性只要在DDS内部加上相应控制如调频控制FM、调相控制PM和调幅控制AM,即可以方便灵活地实现调频、调相和调幅功能,产生FSK、PSK、ASK和MSK等信号。另外,只要在DDS的波形存储器存放不同波形数据,就可以实现各种波形输出。当DDS的波形存储器分别存放正弦和余弦函数表时,既可得到正交的两路输出。

(6).其他优点由于DDS中几乎所有部件都属于数字电路,易于集成,功耗低、体积小、重量轻、可靠性高,且易于程控,使用相当灵活,因此性价比极高。

2、DDS技术原理及实现方法

直接数字频率合成(Direct Digital Frequency Synthesis即DDFS。一般简称DDS)是一种新的频率合成技术。同传统的直接频率合成(DS)、锁相环间接频率合成(PLL)方法相比,它具有很多优点:频率切换时间短、频率分辨率高、相应变化连续、容易实现对输出信号的多种调制等。

直接数字频率合成是基于奈奎斯特抽样定理和数字波形合成原理而发展起来的一种数字化的频率合成技术。

DDS的基本原理是利用采样定理,通过查表法产生波形。

DDS的结构有很多种,其基本的电路原理如图1所示。

相位累加器由N位加法器与N位累加寄存器级联构成。每来一个时钟脉冲f s,加法器将频率控制字k与累加寄存器输出的累加相位数据相加,把相加后的结果送至累加寄存器的数据输入端。累加寄存器将加法器在上一个时钟脉冲作用后所产生的新相位数据反馈到加法器的输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位累加。

由此可以看出,相位累加器在每一个时钟脉冲输入时,把频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器的溢出频率就是DDS输出的信号频率。用相位累加器输出的数据作为波形存储器(ROM)的相位取样地址,这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值转换。

波形存储器的输出送到D/A转换器,D/A转换器将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号。

低通滤波器用于滤除不需要的取样分量[22],以便输出频谱纯净的正弦波信号。

DDS在相对带宽、频率转换时间、高分辨力、相位连续性、正交输出以及集成化等一系列性能指标方面远远超过了传统频率合成技术所能达到的水平,为系统提供了优于模拟信号源的性能。

DDS的实现方法有以下几种方案:
(1).采用高性能DDS单片电路的设计方案;
(2).采用低频正弦波DDS单片电路的设计方案;
(3).自行设计的基于FPGA芯片的设计方案;在对DDS性能要求不苛刻、控制要求灵活的应用场合,优先选用基于FPGA设计的DDS电路;在需要的频率点很多,特定频率时谐波失真要求较小的场合,优先选用低频正弦波DDS单片电路;而在对输出信号性能要求高的场合,则优先采用采用高性能DDS单片电路,这样可以减小设计和调试难度。

而基于本系统的宽频带、分辨率高的设计要求,采用高性能DDS单片电路的设计方案。

3、DDS芯片的选择

目前,市场上性能优良的DDS产品己不断推出,Qualcomm公司的Q2220,Q2234,Q2368等产品。

Q2334在30MHz的时钟上可以高达0.007Hz的频率分辨率提供10MHz以上的信号,而幅度量化噪声低于信号幅值72dB,但由于价格昂贵,因此主要用于扩频通信、电子战等尖端领域;Sciteq公司相继推出了系列化的DDS产品,其中ADS-431,时钟频率1.6GHz,可正交输出,分辨率1Hz杂散-45dBc,捷变时间30ns;美国Stanford公司也相继推出了系列化的DDS产品,如STEL-2171,GaAs电路,时钟频率1GHz,分辨率0.3Hz,杂散-55dBc,捷变时间25ns,这两种都需要直接输入比较高的系统时钟频率,而且采用GaAs电路,价格昂贵。

现在流行的DDS产品以Analog Devices公司的最多,主要有AD7008、AD9830~AD9835、AD9850~AD9854等十几种芯片,形成了从0-120MHz的宽输出频率范围系列。

ADI公司的DDS产品具有高性能和集成了多功能,是市场上极具竞争力的小封装解决方案,并具有极高的性价比。本论文的方案使用Analog Devices公司推出的新一代DDS芯片AD9858,该芯片除了DDS内核电路以外还集成了其它高性能的功能部件,此外与其它的DDS芯片相比还具有很多优势,下面就对这个芯片做一介绍。

AD9858是ADI公司推出的一种高性能新型DDS芯片,具有1GSPS(千兆次取样/秒)速率、10位D/A转换器、快速频率跳跃和精细分辨率功能的单片DDS解决方案。

AD9858比先前的解决方案速度快三倍而功耗却未增加,和其它高速DDS产品不同,AD9858内部集成了DAC、相位/频率检测器和电荷泵,能满足设计者低相位噪音、低虚假能量、快速频率转换和宽带宽线性扫描的要求。

其主要性能指标如下:
(1).具有1千兆次/秒的采样速率;
(2).集成有10位D/A转换器;
(3).具有单音、频率扫描及全睡眠三种操作模式;
(4).具有良好的动态性能:在360MHz输出时仍有50dBc SFDR(无杂散动态范围);
(5).具有4套32位可编程频率寄存器,14位可编程相位寄存器;
(6).内含一个32位控制字寄存器、一个32位频率增量改变字寄存器和一个16位单频点持续时间字寄存器;
(7).集成有2GHz的混频器;
(8).有简化的控制接口:10MHz的串行两线或三线外围接口及100MHz的8位并行端口;
(9).具有多路低功耗功能;可采用单端或差分参考时钟输入。

AD9858在内部时钟频率为1GHz时,其输出信号最高频率可达到400MHz,频率分辨率低于0.1Hz,频率转换时间最小值约0.0067μs,这些指标完全能满足本系统的设计要求,因此采用该DDS芯片作为信号发生电路的核心器件。

4、总体设计框图

信号源的框图如图2所示。

信号源输出为正弦波形,频率、幅度可数控。可控时钟信号发生器NBC12439主要是为AD9858提供参考时钟,其最大输出为800MHz的时钟信号(AD9858可以输入高达1GHz的时钟信号)。通过对AD9858写入不同的控制字使AD9858输出的扫频信号频率满足不同情况下的测试要求。

一般情况下,AD9858输出信号的幅度范围不够,需对信号进行放大,放大电路的设计较为简单,为了便于对输出信号的功率控制使用了可控增益放大器,易于数字控制增益的大小;又因为在电力、通信等领域,所要求输出信号的功率会比较高,普通的运放难以达到要求,故使用射频放大器来提升信号的输出功率。

AD9858所产生的信号直接由器件内部的DAC输出,内部不含低通滤波器,故要对其输出信号进行滤波处理。
 

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 相关推荐

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分