基于FPGA灰度图像高斯滤波算法的实现

FPGA/ASIC技术

190人已加入

描述

1.  内容概要

高斯滤波是一种线性平滑滤波,适用于消除高斯噪声,广泛应用于图像处理的减噪过程。通俗的讲,高斯滤波就是对整幅图像进行加权平均的过程,每一个像素点的值,都由其本身和邻域内的其他像素值经过加权平均后得到。高斯滤波的具体操作是:用一个模板(或称卷积、掩模)扫描图像中的每一个像素,用模板确定的邻域内像素的加权平均灰度值去替代模板中心像素点的值。

对于高斯滤波的基础理论知识可参考:《基于FPGA的图像高斯滤波算法理论篇》。

2.  高斯滤波算法实现步骤

1

4

7

4

1

4

16

26

16

4

7

26

41

26

7

4

16

26

16

4

1

4

7

4

1


高斯滤波5x5算子

1

2

1

2

4

2

1

2

1

高斯滤波3x3算子

1>串行像素形成3x3矩阵

(x-1,y-1)

(x,y-1)

(x+1,y-1)

(x-1,y)

(x,y)

(x+1,y)

(x-1,y+1)

(x,y+1)

(x+1,y+1)

f(x,y)表示(x,y)点的像素值。

g(x,y)表示(x,y)点经过均值处理后的值。

2>用模板确定的邻域内像素的加权平均灰度值去替代模板中心像素点的值

g(x,y)=(1/16)* (f(x-1,y-1)+2f(x,y-1)+f(x+1,y-1)

+2f(x-1,y)+4f(x,y) + 2f(x+1,y)+

f(x-1,y+1)+2f(x,y+1)+f(x+1,y+1))------------------------------(1)

3>用模板扫描图像中的每一个像素

高斯滤波

3.  FPGA实现

首先将RGB图像转换成Gray图像

方法1:

图1,R/G/B lane形成灰度图像进行高斯滤波

源码:

形成3x3像素矩阵

高斯滤波

利用公式(1)进行高斯滤波的实现

仿真代码:

产生行为480的循环数据。

仿真结果:

高斯滤波

图3 形成3x3的图像矩阵

高斯滤波

图4 高斯滤波的计算结果

实验结果

图5 实验使用原图

图6 灰度图像

图7 灰度图像经过高斯滤波后的图像

总结:

至此,基于FPGA的三大图像滤波(均值滤波、中值滤波、高斯滤波)处理已经讲解完毕,其中的图像处理效果需要大家自己去实验,去对比。手机拍摄出来的毕竟有差距。

FPGA在前端捕获到数据后首先要对视频图像做一个预处理,然后根据噪声的来源,针对椒盐噪声进行中值滤波,针对高斯噪声进行高斯滤波处理,均值滤波在图像处理中也很常见。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
评论(0)
发评论
韻星wudi 2019-05-20
0 回复 举报
有文档资料吗?还想更多的了解一点,谢谢了~ 收起回复

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分