图文解析使用Modelsim进行仿真工作

电子说

1.2w人已加入

描述

      仿真测试的所有准备工作就绪了,下面我们就可以一键完成仿真工作。如图6.18所示,点击菜单栏的“ToolsàRun Simulation ToolàRTL Simulation”。

仿真

图6.18 运行仿真菜单

       随后ModelSim-Altera便启动,如图6.19所示,这是ModelSim-Altera软件的工作界面。

仿真

图6.19 波形界面

       弹出Modelsim后,如图6.20所示,我们可以打开Wave查看,同时点击右上角的“Zoom Full”按钮,整个有效的波形将展开显示。Modelsim的使用并不难,大家要多动手,所有的菜单按钮都简单易懂,有些地方右键菜单也有很多功能,如果这里一一介绍恐怕需要一本书,所以大家自动动手,贵在尝试,很快就会上手。

仿真

图6.20 仿真波形

       如图6.21所示,这便是我们设计的二分频效果。

仿真

图6.21 放大的仿真波形

       怎么样,通过这个简单的工程,大家是不是掌握了使用Quartus II进行工程创建、设计文本创建和编辑、测试脚本创建和编辑、使用Modelsim进行仿真等基本的技能。当然了,这只是刚刚把您领进门,让您熟悉一下工具的一些基本操作。这个例程就到这里,我们不往下进行板级的实验,大家继续跟着教程往下,该掌握的都会掌握滴。


打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分