Vivado

今日头条

1115人已加入

描述

使用VIVADO编译代码时,其中一个IP报错,错误类似为


Implementation

Opt Design

[Opt 31-67] Problem: A LUT2 cell in the design is missing a connection on input pin I0, which is used by the LUT equation. This pin has either been left unconnected in the design or the connection was removed due to the trimming of unused logic. The LUT cell name is: Inst_XAUI_10Gbe/U0/xaui_0_core/xaui_inst/transmitter/recoder/txd_out[62]_i_3.

[Opt 31-67] Problem: A LUT2 cell in the design is missing a connection on input pin I1, which is used by the LUT equation. This pin has either been left unconnected in the design or the connection was removed due to the trimming of unused logic. The LUT cell name is: Inst_XAUI_10Gbe/U0/xaui_0_core/xaui_inst/transmitter/recoder/txd_out[62]_i_3.


这是因为添加IP核时选择了OOC(Out of context)导致的。


在添加IP时编译时,选择Global即可解决问题。



--------------------- 

作者:louppppp 

来源:CSDN 

原文:https://blog.csdn.net/louppppp/article/details/80965509 

版权声明:本文为博主原创文章,转载请附上博文链接!


打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分