Quartus.II使用简介与第一个工程实例

电子说

1.2w人已加入

描述

Quartus.II使用简介与第一个工程实例

基于QuartusII通过实验板上的KEY1按钮控制FPGA核心板上的第一个LED灯。本实验比较简单,使用本站FPGA开发板或者CPLD开发板以及其它FPGA开发板都可进行实验。下面实验目的:通过该实例学习,可以了解FPGA的基本开发流程,熟识quartusII软件基本功能的使用。

基本原理:利用一个常开按钮(实验板上的KEY1)作为输入(常开时输入1,闭合时输入0),经过一个反相器后输出到核心板的第一个LED。KEY1常开时,LED亮,按下(闭合)实验板上的KEY1,该LED熄灭。

1、建立工程

运行QuatrusII软件(以下简称Q2),建立工程,FileàNew Project Wizad如点击New Project Wizard 后弹出指定工程名的对话框,在Diectory, Name, Top-Level Entity中如下图填写:

quartus

按Next按钮,出现添加工程文件的对话框:

在这里我们先不用管它,直接按Next进行下一步,选择FPGA器件的型号:

quartus

在Family下拉筐中,我们选择Cyclone系列FPGA,然后在“Available devices:”中根据核心板的FPGA型号选择FPGA型号,注意在Filters一栏选上“Show Advanced Devices”以显示所有的器件型号。执行下一步出现对话框:

这里是选择其它EDA工具的对话框,我们用Q2的集成环境进行开发,因此这里不作任何改动。按Next进入工程的信息总概对话框:

按Finish按钮即建立一个空项目。

quartus

2、建立顶层图

执行FileàNew,弹出新建文件对话框:

选择“Block Diagram Schematic File”按OK即建立一个空的顶层图,缺省名为“Block1.bdf”,我们把它另存为(FileàSave as),接受默认的文件名,并将“Add file to current project”选项选上,以使该文件添加到工程中去。如图所示:

quartus

3、添加逻辑元件(Symbol)

双击顶层图图纸的空白处,弹出添加元件的对话筐:

在Libraries里寻找所需要的逻辑元件,如果知道逻辑元件的名称的话,也可以直接在Name一栏敲入名字,右边的预览图即可显示元件的外观,按OK后鼠标旁边即拖着一个元件符号,在图纸上点击左键,元件即安放在图纸上。在图纸上分别添加非门(not)、输入(input)、输出(output)三个symbol,如图所示:

quartus

连线,将鼠标移到symbol连线端口的那里,鼠标变成图示模样:按下左键拖动鼠标到另一个symbol的连线端。本例中,这三个symbol的连线如下图所示:

分别双击input和output symbol的名字“pin_name”、“pin_name1”,将它们的名字改为Key1,LED1:

quartus

4、 分配管脚

为芯片分配管脚可以用QuartusII软件里的“AssignmentsàPins”菜单,也可以用tcl脚本文件。用Tcl文件进行配置可重用性好,易于管理,因此本文介绍用tcl的方法。对于另一种方法,可以参考QuartusII软件的帮助文档。在工程目录下建立一个name为Setup.tcl的file。FileàNew,选择other files页面:

quartus

有关tcl文件的更详尽内容可参考QuartusII的帮助文档,对于我们所选用的FPGA开发板SOPC开发板来说,由于不同型号的FPGA核心板的管脚与实验板上的引脚也不同,因此不同的核心板对应的.tcl文件也不同(关于核心板引脚与实验板引脚对应的详细情况可以参照相关电路原理图)。在实际项目中,该文件也可以根据具体管脚分配要求来改写。

对应于EP1C6 FPGA开发板,EP1C12 FPGA开发板:

#Setup.tcl

# Setup pin setting

set_global_assignment -name RESERVE_ALL_UNUSED_PINS “AS INPUT TRI-STATED”

set_global_assignment -name ENABLE_INIT_DONE_OUTPUT OFF

set_location_assignment PIN_1 -to led1

set_location_assignment PIN_122 -to key1

保存到工程目录下,并注意在保存对话框选上“Add file to current project”选项。然后打开Tools -》 Tcl Scripts,选中刚才编辑的Script文件:Setup,并点击Run,如下图:

注意:建立工程时如果路径名有中文字符或者路径名有空格字符,则tcl Script文件将运行不了。例如,本例建立的工程“FPGA_led_test”目录是: C:alteraquartus51myq2projectsFPGA_led_test

如果是:C:alteraquartus51my q2projectsFPGA_led_test

在该目录下运行工程里的setup.tcl就会出错。如果使用Tools -》 Tcl Scripts,后没有弹出“tcl Script”对话框可以试一下关了QII,再重新打开。使用TCL文件分配管脚是很方便的,用户可以直接从相关例子工程中复制需要管脚分配表到自己的工程中,省时又方便。

quartus

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分