EDA软件公司有哪些?推出了那些应用软件?
Verilog AMS就是一种用于模拟电子设计的硬件描述语言。此文,设计人员可以使用硬件验证语言来完成项目的验证工作最新的发展趋势是将集描述语言、验证语言集成为一体,典型的例子有SystemVerilog。
好的,EDA(电子设计自动化)领域主要的公司及其代表性的应用软件如下:
国际三大巨头 (占据绝大部分市场份额)
-
新思科技:
- 综合工具: Design Compiler (行业标准逻辑综合)
- 数字实现: Fusion Compiler (物理实现与签核收敛), IC Compiler II
- 静态时序分析: PrimeTime (行业标准STA)
- 形式验证: Formality, VC Formal
- 硬件仿真: ZeBu
- FPGA实现: Synplify Pro
- 物理验证: IC Validator
- 模拟/混合信号: Custom Compiler (基于AI的设计自动化), HSPICE (行业标准电路仿真器), FineSim SPICE, PrimeSim SPICE, XA, CustomSim
- 验证平台: VCS (高性能HDL仿真器), Verdi (调试)
- IP核: DesignWare IP (种类非常广泛)
- 系统级设计与验证: Platform Architect (虚拟原型), HAPS (FPGA原型验证)
-
楷登电子:
- 数字实现: Innovus Implementation System
- 综合工具: Genus Synthesis Solution
- 静态时序分析: Tempus Timing Signoff Solution
- 形式验证: JasperGold
- 模拟/混合信号设计: Virtuoso设计平台 (行业标准全定制设计环境), Spectre (电路仿真器), Spectre AMS Designer, Spectre RF, Spectre X (新一代大容量SPICE)
- 物理验证: Pegasus Verification System
- 验证平台: Xcelium (高性能仿真器), Palladium Z2 (硬件加速/仿真), Protium X2 (FPGA原型验证), vManager (验证管理), JasperGold (形式验证)
- PCB设计与分析: Allegro (高速PCB设计), OrCAD (中端PCB/原理图), Sigrity (信号/电源完整性分析)
- 系统分析与设计: Virtuoso RF Solution, Clarity (3D EM求解器)
-
西门子EDA:
- 功能验证: Questa (高级仿真), Veloce (硬件加速/仿真)
- PCB设计: Xpedition Enterprise (高端PCB), PADS Professional (中端PCB)
- IC测试: Tessent (业界领先的DFT解决方案,包括ATPG、BIST、Scan等)
- 物理验证与DFM: Calibre (行业标准物理验证、DRC/LVS/PEX/DFM)
- 模拟/混合信号: AFS (高容量电路仿真器)
- 系统设计: Capital (电气系统设计,尤其在汽车、航空航天领域)
- PCB分析: HyperLynx (信号/电源完整性分析)
- 数字实现: Catapult (高位综合)
其他重要厂商
-
Ansys
- 主要聚焦于仿真和分析工具,尤其擅长多物理场仿真:
- HFSS (三维电磁场仿真旗舰,用于高频RFIC、封装、天线等)
- SIwave (PCB/封装电源完整性和信号完整性分析)
- Ansys RedHawk (芯片级功耗完整性和可靠性签核工具,用于IR压降、电迁移、动态功率噪声分析)
- Totem (模拟、混合信号和自定义数字设计的电源完整性和可靠性签核)
- Pathfinder (用于集成电路静态和瞬态ESD保护验证)
- VeloceRF (RFIC无源器件的建模和综合)
- Savvy (互连寄生参数建模)
- EMA3D (线缆线束建模与电磁兼容仿真)
-
Keysight Technologies
- 原安捷伦电子测量部门,提供专业的射频/微波/高速数字设计和测试工具:
- ADS (先进设计系统,射频/微波IC、模块和系统设计仿真平台)
- PathWave (系统级设计和测试软件套件)
- GoldenGate (RFIC/MMIC电路仿真器)
- EMPro (三维电磁场仿真)
- SystemVue (电子系统级设计)
-
Altium
- 专注于提供易于使用的PCB设计工具,在中小企业和教育界用户广泛:
- Altium Designer (其旗舰产品,集成原理图捕获、PCB布局布线、3D设计、数据管理等)
-
Silvaco
- 提供一系列EDA工具和IP,尤其擅长工艺和器件TCAD仿真、模拟/混合信号设计和存储器设计支持:
- TCAD (工艺和器件仿真器,如Victory Process, Victory Device)
- FineSim SPICE (与Synopsys合作或独立提供的高性能SPICE仿真器)
- Cosmos (定制IC设计环境)
- Liberate (特征化工具)
新兴/区域性重要厂商(特别是中国大陆)
-
华大九天:
- 提供模拟/全定制IC设计全流程解决方案,在面板显示和某些工艺节点模拟设计有优势:
- Aether (并行SPICE仿真器)
- Aline (版图设计编辑与优化)
- Argus (物理验证DRC/LVS)
- Xtop (时序优化工具)
- Empyrean (PCB设计工具套件)
-
概伦电子:
- 在器件建模和电路仿真领域有深厚积累,并向设计流程扩展:
- BSIMProPlus (行业领先的标准单元库和存储器特征化提取工具)
- NanoSpice系列 (高性能SPICE仿真器,旗舰为NanoSpice Giga)
- NanoDesigner (电路设计环境)
总结
- 新思科技、楷登电子和西门子EDA 是绝对领导者,提供从芯片设计前端到后端、从模拟到数字、从设计到验证和测试的几乎完整工具链。
- Ansys和Keysight 在特定领域(多物理场仿真、射频设计)具有核心优势,它们的高端工具通常是先进芯片/系统设计中不可或缺的签核工具。
- Altium 在PCB设计的中低端市场有显著份额。
- 华大九天和概伦电子 是中国大陆领先的EDA企业,在产品覆盖深度和特定领域性能上不断追赶国际巨头,尤其是在模拟/数模混合设计和建模仿真方面。
这份列表涵盖了市场上的主要玩家及其最核心的、被广泛使用的软件产品。请注意,各公司产品线非常庞大且不断演进,这里列出的是最具有代表性的。
IBM将收购软件公司HashiCorp
科技巨头IBM近日宣布,将斥资64亿美元以每股35美元的价格现金收购知名软件公司HashiCorp。此次收购旨在进一步增强IBM在云计算和基础架构管理领域的实力。
2024-05-06 10:35:21
欧洲软件公司生存环境严峻,行业领袖呼吁提供更多支持
制造业组织Boardwave近期发表的报告揭示了欧洲在扩展软件公司规模上的困境。另据研究发现,尽管英国尚属全球软件公司之都,其上市公司市值排名前
2024-03-27 16:08:32
NaManager应用软件
Manager软件是是在电脑PC主机上的软件管理工具,集成了新悦设备的搜索、配置、升级等功能。使用Manager,用户可以方便的新悦设备的管理
资料下载
jf_47824568
2023-01-10 15:51:00
MATLAB软件原理、程序设计与应用
MMATLAB是由 Mathworks软件公司于1984年推出的数学软件。其名称是由矩阵实验室(Matrix Laboratory)合成的。
资料下载
王倩倩
2021-03-10 10:15:53
Setup_JlinkARM_V420n应用软件免费下载
本文档的主要内容详细介绍的是Setup_JlinkARM_V420n应用软件免费下载j-link V8的驱动程序
资料下载
佚名
2019-01-22 13:41:31
瑞萨电子收购软件公司Altium
继EDA巨头Synopsys(新思科技)以350亿美元收购Ansys之后,全球半导体产业再次迎来重磅并购。日本芯片制造商瑞萨电子近日宣布,将以约59亿美元的价格收购澳大利亚软件公司Altium。这一交易将成为日本买家
2024-02-18 18:28:04
新思科技350亿美元收购工业软件公司Ansys
全球最大的半导体EDA软件提供商新思科技(Synopsys)近日宣布,将以350亿美元的现金和股票收购工业软件公司Ansys。这一交易预计将在2
2024-01-18 14:45:36
西门子再收购一家EDA软件公司
西门子数字化工业软件公司(Siemens Digital Industries Software)近日宣布,它已完成对Insight EDA Inc.的收购,Insight
2023-11-28 11:29:18
可视化芯片设计软件(国产EDA)-北京革新创展科技有限公司
1970-01-01 08:00:00 至 1970-01-01 08:00:00
换一换
- 如何分清usb-c和type-c的区别
- 中国芯片现状怎样?芯片发展分析
- vga接口接线图及vga接口定义
- 华为harmonyos是什么意思,看懂鸿蒙OS系统!
- 芯片的工作原理是什么?
- ssd是什么意思
- 什么是蓝牙?它的主要作用是什么?
- 汽车电子包含哪些领域?
- TWS蓝牙耳机是什么意思?你真的了解吗
- 什么是单片机?有什么用?
- 升压电路图汇总解析
- plc的工作原理是什么?
- 再次免费公开一肖一吗
- 充电桩一般是如何收费的?有哪些收费标准?
- ADC是什么?高精度ADC是什么意思?
- EDA是什么?有什么作用?
- 中科院研发成功2nm光刻机
- 苹果手机哪几个支持无线充电的?
- dtmb信号覆盖城市查询
- 怎样挑选路由器?
- 华为芯片为什么受制于美国?
- 元宇宙概念股龙头一览
- type-c四根线接法图解
- 锂电池和铅酸电池哪个好?
- 什么是场效应管?它的作用是什么?
- 如何进行编码器的正确接线?接线方法介绍
- 虚短与虚断的概念介绍及区别
- 晶振的作用是什么?
- 大疆无人机的价格贵吗?大约在什么价位?
- 苹果nfc功能怎么复制门禁卡
- 单片机和嵌入式的区别是什么
- amoled屏幕和oled区别
- BLDC电机技术分析
- 复位电路的原理及作用
- dsp是什么意思?有什么作用?
- iphone13promax电池容量是多少毫安
- 苹果无线充电器怎么使用?
- 芯片的组成材料有什么
- 特斯拉充电桩充电是如何收费的?收费标准是什么?
- 直流电机驱动电路及原理图
- 自举电路图
- 通讯隔离作用
- 苹果笔记本macbookpro18款与19款区别
- 新斯的指纹芯片供哪些客户
- 伺服电机是如何进行工作的?它的原理是什么?
- 传感器常见类型有哪些?
- 无人机价钱多少?为什么说无人机烧钱?
- 以太网VPN技术概述
- 手机nfc功能打开好还是关闭好
- 十大公认音质好的无线蓝牙耳机