登录/注册

工程师如何选择EDA工具,如何实现应用设计?

利用特定的集成电路制造工艺来实现硬件电路,信息单元就会实施预定义的逻辑或其他电子功能。半导体硬件厂商大多会为它们制造的元件提供“元件库”,并提供相应的标准化仿真模型。

更多

选择EDA工具并实现应用设计是电子工程师的核心工作流程,它融合了技术评估、方法论和实际工程实践。以下是关键步骤和考量因素:

一、 工程师如何选择EDA工具?

没有一个“最好”的EDA工具,只有“最合适”的。选择基于对项目需求和资源的深入分析:

  1. 项目需求分析 (核心出发点):

    • 设计类型: 设计什么?(模拟IC、数字IC、数模混合IC、FPGA、PCB、MEMS、系统级封装?)
    • 设计复杂度:
      • IC:工艺节点(7nm, 28nm, 180nm?)、门电路规模、时钟频率。
      • PCB:层数、信号速率(高速数字/RF?)、元器件密度、是否含FPGA/IC封装。
    • 关键指标: 性能(速度、带宽)、功耗(静态、动态)、面积/尺寸、成本目标。
    • 验证要求: 需要哪些仿真?(电路级Spice仿真、数字逻辑仿真、时序分析、信号完整性/Power Integrity分析、电磁仿真、可靠性分析、形式验证?) 精度要求多高?
    • 制造要求: 目标代工厂/PCB制造商?他们认可的工艺设计套件和设计规则检查。
  2. 工具功能与性能:

    • 覆盖范围: 工具链是否覆盖设计、仿真、验证、综合、布局布线、物理验证、测试设计全流程?还是需要集成多个点工具?
    • 精度: 特别是模拟/RF仿真精度(如Spice引擎)、时序分析精度等是否满足项目要求?
    • 容量与速度: 能否处理项目的规模和复杂度?运行速度是否可接受?
    • 技术先进性: 是否支持最新的工艺节点、设计方法(如AI辅助设计)、先进封装技术?
    • 互操作性与集成度: 不同工具之间(如原理图工具与PCB布线工具、数字前端与后端工具)数据交换是否顺畅?有无成熟的设计流程套件?
  3. 易用性与支持:

    • 学习曲线: 工具是否容易上手?文档、教程、培训资源是否丰富?
    • 用户界面: 交互是否直观高效?
    • 技术支持: 供应商的技术支持是否及时、专业?本地化支持如何?
    • 用户社区与生态系统: 是否有活跃的用户社区、第三方插件/IP支持?
  4. 成本考量:

    • 许可模式: 永久许可?订阅?基于使用量?云端许可?
    • 价格: 工具本身的采购成本,以及后续维护升级费用。
    • 隐性成本: 学习成本、集成成本、运行环境成本(高性能计算资源)、潜在的效率损失成本。
    • 投资回报率: 工具的收益(缩短设计周期、提高成功率、优化性能/功耗/面积)是否远超其成本?
  5. 团队熟悉度与偏好:

    • 团队成员是否熟悉某一套工具?更换工具会带来显著的学习成本和项目延误风险。
  6. 厂商实力与稳定性:

    • 工具厂商是否稳定可靠?是否有持续的研发投入?工具路线图是否符合未来需求?

二、 如何利用EDA工具实现应用设计?

EDA设计是一个多阶段、迭代的过程。现代流程高度依赖于工具自动化,但工程师的决策和经验贯穿始终:

  1. 定义与规划:

    • 需求规格: 明确定义产品的功能、性能(速度、功耗、精度等)、接口、环境要求等。
    • 架构设计:
      • 系统级: 划分硬件/软件功能、定义子系统、选择核心元器件(如处理器、ADC/DAC、存储器、关键接口IC)。
      • 电路/模块级:
        • 对于模拟电路:选择基本拓扑结构(放大器、滤波器、电源管理等)。
        • 对于数字电路:定义模块功能和接口,进行高层次建模(有时使用Matlab/Simulink, Python, C++)。
        • 对于FPGA:划分软硬件功能,定义模块接口。
      • 对于PCB:确定板卡尺寸、叠层结构、关键元器件布局约束。
  2. 设计输入:

    • 原理图设计: 使用原理图捕获工具绘制电路图(如Altium Designer, Cadence OrCAD/Allegro, KiCad, Mentor Xpedition)。
    • 硬件描述语言: 主要针对数字电路/FPGA设计,使用VHDL或Verilog描述电路行为和结构。这是设计的“源代码”。
    • 行为级建模: 使用SystemVerilog, SystemC等高级语言进行更抽象的系统级建模与验证。
  3. 仿真与验证:

    • 前仿真:
      • 模拟: 使用Spice仿真器进行晶体管级电路功能、性能(增益、带宽、噪声)、功耗、鲁棒性分析(如Cadence Spectre, Synopsys HSPICE, Keysight ADS)。
      • 数字: 使用逻辑仿真器进行RTL功能验证(验证HDL描述是否符合预期功能),配合测试平台。常用数字仿真器有Cadence Xcelium, Synopsys VCS, Mentor QuestaSim。
      • 数模混合: 使用混合信号仿真器协调模拟Spice仿真和数字逻辑仿真。
      • 高速数字/RF/EMI: 使用专门的信号完整性、电源完整性和电磁仿真工具(如Ansys HFSS/SIwave, Cadence Sigrity, Keysight ADS/Momentum)。
    • 时序分析: (主要针对数字设计)在综合后或布局布线后进行静态时序分析,检查建立时间/保持时间等约束是否满足(Synopsys PrimeTime是业界标杆)。
    • 形式验证: 使用数学方法证明RTL设计与综合后的网表在功能上等价,或验证RTL是否符合特定属性(如Cadence Conformal, Synopsys Formality/VC Formal)。
    • 迭代修改: 根据仿真/验证结果不断修改设计(原理图或HDL代码),直到满足所有功能和性能要求。
  4. 实现:

    • 综合: (数字IC/FPGA) 将RTL描述转换为特定工艺库或目标器件的基本逻辑门组成的门级网表(如Synopsys Design Compiler, Cadence Genus)。
    • DFT 插入: (量产数字IC) 在设计中加入可测试性逻辑结构(扫描链、内建自测试等)。
    • 布局布线:
      • IC: 使用自动布局布线工具进行物理实现:放置标准单元/宏单元、时钟树综合、布线、加入电源网络。工具非常复杂(如Cadence Innovus, Synopsys IC Compiler/Fusion Compiler)。同时进行功耗分析、信号完整性分析。
      • PCB: 根据原理图和约束规则进行元器件放置和走线连接(如Altium Designer, Cadence Allegro, Mentor Xpedition)。高速设计需特别注意阻抗控制、匹配、串扰、等长等。
      • FPGA: 使用厂商的布局布线工具(如Xilinx Vivado, Intel Quartus Prime)。
  5. 后仿真与物理验证:

    • 后仿真: 使用包含实际器件模型、提取的寄生参数(电阻、电容、电感)的网表进行更精确的仿真,验证物理实现后的功能和时序。这是Sign-Off的重要步骤。模拟和高速数字的后仿真至关重要。
    • 物理验证: (主要针对IC)
      • 设计规则检查: 确保版图符合代工厂的几何和电学制造规则。
      • 版图与原理图一致性检查: 确保物理版图与原始电路图在电气连接上一致。
      • 常用工具包括Siemens EDA Calibre, Synopsys IC Validator, Cadence Pegasus。
    • PCB 制造/装配规则检查: 检查最小线宽线距、孔径、阻焊等是否符合PCB厂家能力,以及元件间距是否符合装配要求(DFM/DFA)。
  6. 最终验证与Sign-Off:

    • 在所有关键环节(时序、功耗、信号完整性、电源完整性、EMC、物理设计规则)都通过严格的、达到Sign-Off精度的验证后,签署认可设计已达到制造要求。
  7. 输出与制造:

    • IC: 输出版图数据文件,如GDSII/OASIS,交给代工厂进行掩膜制作和晶圆生产。
    • PCB: 输出Gerber文件(光绘文件)、钻孔文件、贴片坐标文件、物料清单,交给PCB制造商和SMT工厂。
    • FPGA: 生成比特流文件,下载到目标FPGA芯片中。
  8. 测试与调试:

    • 对制造出来的芯片、PCB板卡或编程后的FPGA进行实际测试,验证其功能和性能是否达标。根据测试结果进行调试。可能需要用到ATE设备、逻辑分析仪、示波器等。

关键总结

希望以上流程能帮助你清晰梳理设计思路,提升工作效率!?

Microchip APTM50H14FT3G是工程师的好伙伴

1970-01-01 08:00:00 至 1970-01-01 08:00:00

Ampleon BLP05H6150XR是工程师的理想选择

1970-01-01 08:00:00 至 1970-01-01 08:00:00

PFD1KE评估板:助力工程师快速开发与验证

1970-01-01 08:00:00 至 1970-01-01 08:00:00

pcb工程师网名大全

华秋DFM是国内首款免费的PCB设计可制造性分析软件,是面向PCB工程师、硬件工程师、PCB工厂、SMT工厂、PCB贸易商的一款必备的桌面工具,

资料下载 百灵千岛酱 2021-07-28 18:39:17

pcb工程师

华秋DFM是国内首款免费的PCB设计可制造性分析软件,是面向PCB工程师、硬件工程师、PCB工厂、SMT工厂、PCB贸易商的一款必备的桌面工具,

资料下载 vinww特烦恼 2021-07-28 18:39:15

pcb软件工程师

华秋DFM是国内首款免费的PCB设计可制造性分析软件,是面向PCB工程师、硬件工程师、PCB工厂、SMT工厂、PCB贸易商的一款必备的桌面工具,

资料下载 鼠爱米 2021-07-28 18:26:53

pcb工程师可以自学嘛

华秋DFM是国内首款免费的PCB设计可制造性分析软件,是面向PCB工程师、硬件工程师、PCB工厂、SMT工厂、PCB贸易商的一款必备的桌面工具,

资料下载 479809 2021-07-28 18:22:48

华秋DFM-PCB设计分析专业工具-20万工程师推荐

华秋DFM-PCB设计分析专业工具-20万工程师推荐

资料下载 ah此生不换 2021-07-16 15:40:15

FPGA工程师需要具备哪些技能?

,需要具备一系列的技能,才能胜任日益复杂的设计工作。因此,本文将从设计思路、硬件语言、EDA工具、数字信号处理、通信协议、测试验证等多个方面,探讨FPGA工程

2023-11-09 11:03:52

热门推荐:硬件工程师必备工具

硬件开发的工作流程一般可分为:原理图设计、PCB Layout设计、采购电子BOM、PCB板生产、PCBA组装、功能调试及测试、小批量试产、大批量生产正式投放市场等步骤。 作为一名优秀的硬件工程师

2023-06-21 10:15:21

工程师需要的软件工具

工程师需要工具。很多工具。几乎所有工程学科都有很多类型的

2022-08-24 14:27:21

【高效工作】 厉害的电子工程师都在用哪些工具

无论是初试业余项目的新手,还是经验丰富的电子工程师,都需要借助各种工具来完成项目。今天,广大工程师们有福了!华秋商城电子

2022-04-26 14:21:36

【报名福利】15+年经验工程师,教你八分钟搞定EDA建库!

目前板级EDA软件市场主要由Cadence、Siemens(原Mentor Graphics)、Zuken、Altium等四家国际巨头瓜分,并且拥有庞大稳定的工程师群体。Jupiter是为昕科技为

2022-04-13 11:26:32

电子工程师高端PCB设计工具:20种完全免费的PCB设计必备工具

@ AC4PCBAdvanced Circuits拥有业内最大的活跃客户数据库和最佳的按时发货记录。他们的PCB Artist是电子工程师寻求可靠,易于使用的解决方案的可靠软件工具。电子

2021-06-21 17:30:34

央企研发中心--RFIC工程师+ASIC工程师=薪酬面议

)(ASIC工程师)岗位要求;1.负责芯片全局或模块的后端设计。包括实施从netlist到GDS2的所有物理设计;负责芯片DFT/DFD等可测性设计方案制定、实现,仿真验证,STA时序分析,ATE测试向量

2020-08-20 18:02:05
7天热门专题 换一换
相关标签