Chisel

0人关注
Chisel是一门建构在Scala语言之上的领域专用语言,得益于Scala作为高级语言的简洁风格和强大的抽象能力,Chisel相比于传统的Verilog语言开发速度快很多。Chisel最突出的优势在于参数化、模块化的设计理念,使得Chisel成为一种高效的模块生成器。本文主要是关于Chisel的入门内容,包括搭建开发环境、基本的语法、生成Verilog以及编写运行测试。 ...展开
关注标签,获取最新内容

相关推荐

更多 >
×
20
完善资料,
赚取积分