×

虚拟嵌入式设计测试环境下STM32控制器的软件仿真

消耗积分:3 | 格式:pdf | 大小:217.18KB | 2021-11-03

h1654155275.3301

分享资料个

将仿真硬件集成到嵌入式测试环境中并在嵌入式软件开发生命周期(EDLC)的初始阶段对嵌入式软件(ESW)进行转换和替换测试。仿真技术消除了对硬件的替代,在硬件仿真已经在工业中使用Verilog和像HILO这样的硬件设计模拟器来测试硬件设计。在制造硬件芯片之前进行的大量硬件设计测试被证明是具有成本效益的,并且可以节省硬件设计和开发的工作量。以及,嵌入式设备的软件仿真取得了一些进展,为嵌入式SDLC铺平了道路。本文针对嵌入式系统测试,对现有的验证技术及其存在的不足进行了详细的研究。在此基础上,由Fa...

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !