使用开源verilog仿真工具进行文件的编译和仿真

描述

一、本文将介绍如何使用Icarus Verilog+GTKWave来进行verilog文件的编译和仿真。

Icarus VerilogIcarus Verilog极其小巧,支持全平台Windows+Linux+MacOS,并且源代码开源。通过tb文件可以生成对应的仿真波形数据文件。

通过GTKWave可以查看仿真波形图,支持将Verilog转换为VHDL文件。

1.安装iverilog:

sudo apt-get install iverilog 
仿真

安装完成查看版本

iverilog -v  

2.安装gtkwave:

sudo apt-get install gtkwave 
仿真

安装完成查看版本

gtkwave -v 
仿真

tb中添加:

仿真

3.编译:

进入文件目录,输入命令:

iverilog *.v
仿真

编译完成出现.out文件

仿真

生成.vcd文件

vpp a.out 
仿真

执行后产生的文件如下:

仿真

4.用GTKWave打开VCD文件:

gtkwave glitch.vcd 
仿真

执行完成后,弹出界面

 仿真

添加波形的时候卡死,可能是glitch.vcd文件太大;

仿真

解决:

gtkwave,icarus支持vcd,lxt,lxt2 dump.

vcd通用但vcd dump太大,gtkwave不能很好的查看波形,导致崩溃。

所以最好之前用lxt或将vcd转化为lxt格式。lxt格式是gtkwave的专用格式。

cp glitch.vcd glitch.lxt 

添加波形

仿真仿真

5.Verilog转换为VHDL

将glitch.v文件转换为VHDL文件glitch.vhd

iverilog -tvhdl -o glitch.vhd glitch.v

审核编辑:郭婷


打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分