verilog向量的部分选择

电子说

1.2w人已加入

描述

最近在看verilog代码时发现如下写法a[x*2+:4]这样的写法,后来花了一点时间了解到,该写法称为向量的部分选择,还语法在verilog-2001 LRM就有说明,只是在语法书中鲜少提及。

语法定义如下:

Verilog

如上图所示,part_select_width必须是常量。该写法在Verilog-2001中添加。

+:表示从starting_bit_number向上增长part_select_width位。

-: 表示从starting_bit_number向下减少part_select_width位。.

示例如下

//若num位4,out输出data[19:16]的值
reg [31:0] data
Reg [3:0] num
Wire out = data[num*4+:4]


//若num位4,out输出data[16:13]的值
reg [31:0] data
Reg [3:0] num
Wire out = data[num*4-:4]
打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分