一文详解CPO光模块技术

光通信

17人已加入

描述

AI迎来“iPhone时刻”,光技术持续演进

AI未来已来,算力、网络设备和光模块等领域率先受益。2022年11月底,OpenAI发布聊天机器人ChatGPT,仅用5天用户破百万,2个月活跃用户破亿,成为史上增速最快的消费级应用之一。2023年3月,英伟达召开的GTC开发者大会犹如“深水炸弹”,创始人兼CEO黄仁勋提出的全新概念:我们正处于AI的“iPhone时刻”。目前AI正在迎来爆发式增长,将成为数十年来最有前途的技术领域之一,并将驱动算力、网络设备和光模块等领域的极大发展。受益于此,博通和Marvell等网络与通信芯片巨头的股价均迎来大幅上涨。博通表示23年用于生成式AI业务的以太网设备销售额将从2亿美元上升到超8亿美元;Marvell也于近期表示AI已成为关键成长动能,预估2024财年AI相关产品营收至少较2023年度呈现倍增,并在未来几年持续迅速成长。

AI

高速率光技术持续演进。

作为AI算力的核心器件,光模块及其配套芯片持续迭代:1)CPO、LPO等先进封装技术在降低光模块成本及功耗上作用显著,中际旭创、新易盛等光模块厂商率先布局;2)EML、硅光和薄膜铌酸锂等光芯片不断升级来适配高速率场景应用,源杰科技、长光华芯和光库科技等厂商不断突破芯片技术瓶颈。光芯片作为光模块中最核心的部件(光器件占光模块成本的73%,光芯片占光器件成本的81%),拥有更大的附加价值量弹性和国产替代预期,在产业链中地位尤其重要,光芯片厂商亦有望在AI浪潮中持续受益。

AI

光芯片三重逻辑共振:1)AI算力高弹性;2)国产替代预期;3)下游模块厂商出海加持。在AI算力需求拉动下光模块向更高速率演进,光芯片作为光模块核心器件有望深度受益;中国光芯片市场规模持续增加,国产化进程有望持续迈进;国内下游模块厂商海外业务不断拓展,光芯片可以跟随光模块出海,有望应用到谷歌、微软等海外互联网大厂。在前沿光通信技术发展和高算力需求的共同催化下,将有力推动光芯片的技术升级和更新换代,硅光芯片、薄膜铌酸锂调制器芯片等有望成为更优解决方案。

1)算力需求带动高速率光模块市场量价齐升。全球数据规模随着AIGC的发展预计增速会持续提升,数据中心高速率光模块市场相应将获得较大增量市场。根据中国计算机互连技术联盟(CCITA)CPO标准及草根调研数据,云计算通用服务器所属叶脊架构的交叉互联网络中上行、下行端口收敛比约为3:1,1台服务器约需要4-6个光模块,整体平均单价在1美金/GB左右;而AI服务器所用的A100、H100等GPU,需用200G以上的高速率光模块8-10个/片。

根据LightCounting的统计,全球Top5云厂商以太网光模块市场体现出对高速率光模块的偏好。2023年800G光模块需求预计将进一步替代较低速率光模块的份额,整体高速率光模块用量和规格不断提升,呈量价齐升之势。

AI

光芯片是光模块的核心器件,附加价值量弹性更大。

根据LightCounting数据测算,光芯片占光模块市场比重从2018年约15%的水平到2025以后超过25%的水平,光芯片有望深度受益。相对于光模块和器件,光芯片具有更大的附加价值量弹性,其成本占比分布在低端器件、中端器件、高端器件上的数据逐级提升,大约分别为20%、50%、70%。随着通讯、AI等产业对高性能光模块的需求快速增长,光芯片将呈现量价齐升的增长趋势。

2)中国光芯片市场规模持续增加,光芯片国产替代正当时。

根据ICC预测,2019-2024年,中国光芯片厂商销售规模占全球光芯片市场的比例将不断提升。目前,我国光芯片企业已基本掌握2.5G及以下速率光芯片的核心技术;部分10G光芯片产品性能要求较高、难度较大,如10GVCSEL/EML激光器芯片等,国产化率不到40%;25G及以上光芯片方面,随着5G建设推进,我国光芯片厂商在应用于5G基站前传光模块的25GDFB激光器芯片有所突破,数据中心市场光模块企业开始逐步使用国产厂商的25GDFB激光器芯片,2021年25G光芯片的国产化率约20%,但25G以上光芯片的国产化率仍较低,约为5%,目前仍以海外光芯片厂商为主。

AI

3)光芯片可以跟随光模块出海,有望应用到海外大厂的AI服务器及数据中心当中。

海外光芯片企业已形成产业闭环和高行业壁垒,可自主完成芯片设计、晶圆外延等关键工序,可量产25G及以上速率的光芯片。部分中国光芯片企业已具备领先水平,随着技术能力提升和市场认可度提高,竞争力将进一步增强。目前全球光模块市场主要由美中日三国占据主导地位,2021年光模块全球前十的生产商中有一半来自中国。随着国内下游模块厂商海外业务的不断拓展,光芯片可以跟随光模块出海,有望应用到海外大厂,国产光芯片发展前景广阔。

AI

CPO、LPO、硅光、相干以及薄膜铌酸锂等技术值得关注,将有力推动光芯片技术升级和更新换代。数据中心的商业模式下,云厂商在成本端有充分的动力为低功耗服务器买单;同时,在低功耗的基础上,AI服务器对低时延有着更高的要求。因此,除了以CPO与硅光技术为主线的光模块发展路径外,LPO方案应运而生。同时,在相干光通讯和非相干数据中心的信号传输中,薄膜铌酸锂所制备的超高速率电光调制器有望受益于光器件集成新趋势,从而打开更加广阔的市场空间。在前沿光通信技术发展与高算力需求拉动的共同催化下,高速率光芯片前景广阔,更高速率的DFB、EML芯片、硅光芯片、薄膜铌酸锂调制器芯片等将成为更优解决方案。此外,立讯精密、长电科技等消费电子/半导体公司也逐步进入光模块/芯片赛道,有望将成熟技术应用到光芯片产业链,进一步降本增效促进行业发展。

AI

CPO是光通讯实现光电转换的长期路径

CPO是长期路径;LPO易落地,是短中期极具性价比的过渡方案。CPO方案是通过在交换机光电共封装起到降低成本、降低功耗的目的。长期来看,CPO是实现高集成度、低功耗、低成本以及未来超高速率模块应用方面最优的封装方案。由于目前的技术与产业链尚不成熟等原因,短期内难以大规模应用。相比之下,LPO主要的技术壁垒在于更复杂的RF模块,仍然采用可插拔模块的形式,可靠性高且便于维护,可以直接应用于目前成熟的光模块供应链。

AI

CPO是在成本、功耗、集成度各个维度上优化数据中心的光电封装方案。CPO(CopackagedOptics)将光模块不断向交换芯片靠近,缩短芯片和模块之间的走线距离,最终将光引擎和电交换芯片封装成一个芯片。理想情况下,CPO可以逐步取代传统的可插拔光模块,将硅光子模块和超大规模CMOS芯片以更紧密的形式封装在一起,从而在成本、功耗和尺寸上都进一步提升数据中心应用中的光互连技术。

AI

高速率CPO渗透率预计从24年开始显著提升,高速率光模块需求海外先行。根据LightCounting数据预测,800G/1.6T光模块与AOC加上CPO出货将从2022年不到百万件增长至2027年超过1500万件,其中CPO渗透率将从24年开始快速提升。2023年4月5日全球光互连论坛OIF发布业界首个3.2T共封装模块实施协议,可见目前海外市场,尤其是高速率板块对CPO需求更为迫切,国内上量节奏紧随其后。

AI

CPO的发展需要产业链协同推进,考验光模块/光引擎厂商的长期综合实力。CPO的技术路线在逐步推进的过程中本质上也是对整个网络架构的优化,需要数据中心整体产业链的协同推进。其中涉及到的环节在现有光模块产业链的基础上预计还需要得到交换芯片及设备厂商,以及各元器件厂商的合作。因此CPO的进度本质上是对光模块/光引擎厂商综合实力的长期考验,包括在光模块零部件、封装等方面的技术积累与研发实力,以及下游客户的关系。

AI

MicroTEC是高速率光通信领域实现精准控温的优质方案。TEC(ThermoElectricCooler)半导体制冷器是指利用热电材料的帕尔贴效应制取冷量的器件,又称热电制冷器,具有无噪声、无振动、不需制冷剂、体积小、重量轻等特点,易于进行冷量调节。TEC的重要性对于光模块而言,不仅在于降温散热,核心在于保持工作波长的稳定,激光器波长会根据自身温度来进行漂移,如果不控制波长温度,就会引起通道间串扰,TEC则可以通过该电流精准实现优于0.1℃的温度控制。在光模块领域,对于目前的200G/400G/800G高速光模块,必须使用MicroTEC来实现工作波长的稳定。随着数据中心400G/800G模块、长距离相干模块的发展,对微型化的局部精准控温提出了更高要求,TEC未来市场前景广阔。

AI

光模块龙头及光芯片技术领先的供应商直接受益于市场增量,对于产业发展趋势更具话语权。

目前,华工科技、源杰科技等多家厂商布局CPO领域。其中,华工科技“光联接+无线联接”的解决方案市占率行业领先,100G/200G/400G全系列光模块批量交付,CPO技术的各种类型800G系列产品已经给北美头部厂家送样测试。源杰科技是国内高速率光芯片龙头,公司CW大功率光源可以用于CPO领域,已向多家客户送样测试。铭普光磁的光模块产品广泛应用于数通领域,400G系列产品已生产交付,800G光模块预研中,其中CPO相关技术是公司未来研发的重要方向之一。中际旭创、仕佳光子、联特科技等厂商在CPO领域亦有所布局。富信科技是目前国内少数能够批量生产光通信应用高性能超微型热电制冷器件的企业,22年公司已经具备了年产200万片MicroTEC的批量化生产能力。

LPO是短中期极具性价比的过渡方案

LPO光模块在功耗、时延方面更优,设计核心在于去DSP化。LPO(Linear-drivePluggableOptics)线性驱动可插拔光模块是基于LinearDriver芯片技术实现的可插拔光模块,线性驱动的方案的直接表征在于去DSP化,在数据链路中只使用线性模拟元件,无CDR或DSP的设计方案。DSP是数字信号处理器,随着高性能DSP不断迭代,目前整体来看高速率光模块中DSP芯片功耗占比约在50%的水平。因此LPO去DSP在可插拔光模块上具备显著的低功耗优势。

AI

短距离、低功耗、低时延、低成本特性使得LPO方案适配AI计算中心。LPO方案的特点是适用于短距离、低成本、低功耗、低时延。根据草根调研,LPO方案较之传统可插拔光模块方案成本保守估计可降低15%,功耗降低50%,时延可从微妙级降至纳秒级。这些都是取缔DSP而产生的优势,但也在信号恢复方面有所牺牲。目前的LPO方案其信号恢复性能主要由Host交换芯片所含的收发DSP/Serdes,以及线性直驱driver中的CTLE和TIA中的Pre-emphasize来补偿。

AI

加速落地,高线性度TIA/Driver厂商大力推进LPO方案。

Macom、Semtech、美信等电芯片实力强劲的厂商,在DSP领域不及博通、Inphi,从而希望通过LPO方案绕开DSP短板。因此这些厂商有很强的驱动力与光模块封装设计厂商合作,比如目前Macom与剑桥科技的战略合作等。加上LPO方案在性能、成本等方面确有不小的优势,契合服务器厂商需求,整体推进速度较快。

华工科技、新易盛、中际旭创等LPO进度较快的厂商有望率先发力。

其中,华工科技积极布局LPO方案,结合LPO技术800G系列产品都已经给北美头部厂家送样测试。新易盛作为高速率光模块供应商,在LPO技术领域已深入布局,OFC2023期间推出多款相关产品,与主流厂商和用户建立起了良好合作关系,并积极推动LPO相关测试项目的进展,力争在LPO相关产品的市场竞争中占得先机。

硅光集成趋势下光引擎地位凸显

“以光代电”,硅光模块对比传统光模块在高速率领域具有高集成度、低成本、低功耗的显著优势。硅是用量最大的半导体晶圆材料,具有低成本和加工工艺成熟的优势。硅光基于硅和硅基衬底材料,通过CMOS工艺进行光器件开发和集成的新一代技术。硅光模块产生的核心理念是“以光代电”,即利用激光束代替电子信号进行数据传输。普通光模块采用分立式结构,光器件部件多,封装工序较为复杂,从而需要投入较多人工成本,而硅光模块由于芯片的高度集成,组件与人工成本也相对减少。在400G及以上的高速率的场景中,传统DML和EML成本较高,硅光模块成本优势更为显著。

AI

硅光芯片中的光器件分为有源器件和无源器件。硅光芯片中的有源器件包括激光器、调制器和光电探测器;无源器件包括平面波导、光栅或边缘耦合器等。基于这些元器件,可以构成光发射/接收芯片,并开展列阵化的应用,最终通过光子集成技术(PIC)来实现硅光芯片。其中,核心硅光芯片器件主要包括硅基激光器、硅光调制器、光电探测器、无源复用技术等。

AI

光引擎产品形态顺应集成化发展规律。光引擎指的是光收发模块中负责处理光信号的部分。而高速光引擎是高速光收发模块的核心器件,在高速发射芯片和接收芯片封装基础上集成了精密微光学组件、精密机械组件、隔离器、光波导器件等,实现单路或者多路并行的光信号传输与接收功能。

硅光芯片集成高速光引擎趋势确定性强。硅光技术将硅光模块中的光学器件、电子元件整合到一个独立的微芯片中,使光信号处理与电信号的处理深度融合,实现真正意义上的“光互联”。硅光集成大规模应用之后,电芯片和硅光集成芯片与光纤连接,形成光引擎。相比分立器件光模块,硅光器件不需要OSA封装,具有低成本、高性能的优势。向硅光芯片集成高速光引擎的发展趋势是目前行业共识。

AI

硅光及光引擎顺应光模块集成大趋势,华工科技、源杰科技、立讯精密等厂商有所布局。

华工科技积极推进硅光技术应用,现已具备从硅光芯片到硅光模块的全自研设计能力,800G硅光模块已正式面市。源杰科技50G、100G高速率激光器芯片产品以及硅光直流光源大功率激光器芯片产品向商用推进,目标在高端激光器芯片产品的特性及可靠性方面对美、日垄断企业的全面对标。立讯精密800G硅光模块已在多家客户完成测试,小批量交付正在准备中。

“相干下沉”+相干光链路空间可期

数据中心光互联方案可根据其传输距离来选择两种支撑技术,直接探测技术与相干探测技术。随着光模块速率的不断提升,直接探测方案的传输距离将受到限制,相干探测凭借着高容量、高信噪比等优势得到广泛应用。相干探测调制方式灵活、灵敏度强,适用于长距离传输。相较于传统光接收机只响应光功率的变化,相干探测可探测出光的振幅、频率、位相、偏振态携带的所有信息,并且取代传统光复用技术的大频率间隔,具有以频分复用实现更高传输速率的潜在优势。相干检测能改善接收机的灵敏度,在相同的条件下,相干接收机比普通接收机提高灵敏度约20dB,可以达到接近散粒噪声极限的高性能,因此也增加了光信号的无中继传输距离。“相干下沉”+相干光链路需求提升驱动相干光通信市场增长。目前全球通信市场主要采用“相干下沉”的解决方案,相干技术从过去的适用于大于1000km的骨干网,逐步下沉到传输距离为100km到1000km的城域网,甚至小于100km的距离的边缘接入网,以及80km至120km的数据中心互联领域。在数通领域,相干技术也已经成为数据中心间互联的主流方案。预计未来几年相干光链路的用量将迎来井喷式增长。

AI

相干光随应用距离下沉,市场增量可期,华工科技、中际旭创等厂商有望受益。华工科技的相干光模块产品在北美市场表现亮眼,推出了全球第一个400GZR+PRO产品,在发射光功率、接收灵敏度、光的性噪比方面优于业界水平。中际旭创拥有长距离传输功能的相干光模块产品,助力“东数西算”工程和算力枢纽建设。

薄膜铌酸锂调制器有望借势破局

电光调制器是超高速数据中心和相干光传输的核心光器件,体材料铌酸锂具备优势。电光调制器通过调制将通信设备中的高速电子信号转化为光信号,是光通信系统中不可或缺的一环。目前光调制的技术主要基于硅光、磷化铟和铌酸锂三种材料平台的电光调制器。其中铌酸锂电光系数显著高于磷化铟,而硅没有直接电光系数,因而铌酸锂调制器是大容量光纤传输网络和高速光电信息处理系统中的关键器件。

AI

薄膜铌酸锂调制器芯片突破原有瓶颈,具有性能高、尺寸小、成本低的特性,有望成为高速光互联新宠。新一代薄膜铌酸锂调制器芯片技术将解决尺寸大不利于集成的问题。铌酸锂材料通过新型微纳工艺,在硅基衬底上蒸镀二氧化硅(SiO2)层,将铌酸锂衬底高温键合构造出解理面,最后剥离出铌酸锂薄膜。该工艺下制备出的薄膜铌酸锂调制器芯片具有高性能、低成本、小尺寸、可批量化生产且与CMOS工艺兼容等优点,是未来高速光互连极具竞争力的解决方案。

AI

薄膜铌酸锂调制器芯片的关键制备技术为铌酸锂薄膜的图形化。铌酸锂单晶薄膜相对较硬,组分特殊,难以刻蚀。目前已公开的铌酸锂薄膜图形化技术路线中,主要包括电子束光刻(EBL)+干法刻蚀/湿法刻蚀、紫外+干法刻蚀、DUV+干法刻蚀四种。其中,相对于湿法刻蚀,干法刻蚀对薄膜铌酸锂的形貌和刻蚀速率的可控性更高,运用EBL+干法刻蚀的路线能够充分发挥电子束光刻加工精度高、版图设计灵活、无需掩膜版直接曝光等优点。

AI

薄膜铌酸锂技术壁垒高,行业先发优势或成卡位关键。电信级铌酸锂高速调制器芯片产品设计难度大,工艺非常复杂。根据智研咨询数据,全球主要批量供货体材料铌酸锂调制器的企业为富士通、住友和光库科技三家。而薄膜铌酸锂在此基础上通过上下分布二氧化硅压缩光斑,拉近电极的距离,提高电场、射频带宽,技术壁垒再上一个台阶。目前在薄膜铌酸锂领域已有布局的厂商或可保持先发优势,深度收益于超高速率电光调制器需求提升。

AI

薄膜铌酸锂调制器产业链有望借势打开局面,福晶科技、光库科技等厂商具备关键核心能力。福晶科技是全球非线性光学晶体龙头,开展独立自主研发,能够提供各种规格高质量的铌酸锂晶体,相关产品已成功推向Lumentum等光器件厂商。光库科技在2019年收购Lumentum的铌酸锂高速率调制器生产线进入该领域,掌握了包括芯片设计、芯片制程、封装和测试等核心技术,具备开发800G及以上速率的薄膜铌酸锂调制器芯片和器件的关键能力。

AI算力需求增长趋势确定,预计直接提升高速率光模块产业链市场增量,光芯片作为光模块的核心器件有望深度受益,看好其在国产替代和技术创新趋势下的表现。光赛道技术领先的供应商直接受益于市场增量,对于产业发展趋势更具话语权。

1)光芯片领域有较强国产替代预期,建议关注源杰科技、华工科技、长光华芯、华西股份;

2)薄膜铌酸锂调制器芯片作为一种新的光电调制方式,有望成为高速光互联更优解决方案,建议关注福晶科技、光库科技;

3)MicroTEC是目前高速率光通信领域实现精准控温的优质方案,建议关注富信科技。

来源:东方证券

什么是CPO?

CPO,英文全称Co-packaged optics,共封装光学/光电共封装。CPO是将交换芯片和光引擎共同装配在同一个Socketed(插槽)上,形成芯片和模组的共封装。

为了尽可能地降低网络设备的自身工作功耗以及散热功耗,在 OIF(光互联网络论坛)的主导下,业界多家厂商,共同推出了 ——NPO / CPO 技术。

AI

NPO,英文全称 Nearpackaged optics,近封装光学。

CPO,英文全称Co-packaged optics,共封装光学。
 

NPO / CPO 是将网络交换芯片和光引擎(光模块)进行“封装”的技术。

传统的连接方式,叫做 Pluggable(可插拔)。光引擎是可插拔的光模块。光纤过来以后,插在光模块上,然后通过 SerDes 通道,送到网络交换芯片(AISC)。

CPO 呢,是将交换芯片和光引擎共同装配在同一个 Socketed(插槽)上,形成芯片和模组的共封装。

NPO 是将光引擎与交换芯片分开,装配在同一块 PCB 基板上。

AI

大家应该能看出来,CPO 是终极形态,NPO是过渡阶段。NPO 更容易实现,也更具开放性。

之所以要做集成(“封装”),目的很明确,就是为了缩短了交换芯片和光引擎间的距离(控制在5~7cm),使得高速电信号能够高质量的在两者之间传输,满足系统的误码率(BER)要求。

NPO / CPO 技术的背后,其实就是现在非常热门的硅光技术。

AI

CPO的技术路线演化图

CPO就是将交换芯片和光引擎共同装配在同一个插槽上面,因此就形成了芯片和模组的共封装,相较于传统方案(可插拔光模块模块插在交换机前面板),CPO能缩短交换芯片和光引擎的距离,在提升集成度的同时降低功耗。

AI

AI

CPO示意图

通过这种技术路径,可以减少能量转换的步骤,进而降低功耗。有报道甚至指出,相较于可插拔的光模块,CPO的架构直接可以把功耗下降一半。再进一步说,超高算力背景下光模块数量过载问题就有望得到解决。除了降低功耗之外,它也大大减小了光模板的尺寸、减小了电信号的延迟和失真。同时是不少投资者最为关心的成本。因为可以减少芯片与光模块之间的连接器数量,这样这一块的成本就可以直接省略了,而且低功耗也同时意味着低成本。

此外,如果按照AIGC产业链划分来看,CPO属于上游的算法、算力层面。当前大算力应用场景的快速发展将加速推动光模块从800G向1.6T演进,传统的插拔光模块的功耗问题就会逐渐显露,CPO的作用就可以显现。

从政策层面来看,高层对于6G技术的研发推进可谓全力支持。6G相较于5G本质上在速率、时延等方面都有着数量级级别提升的要求,进一步就意味着对光通信的传输速率有了更高要求,所以CPO也可以说是6G的好帮手。

AI

AI

目前光芯片已经形成了美中日三足鼎立的局面,只是美国在高端光芯片领域的优势更为明显,国内玩家也在奋起直追。比如源杰科技(688498)就已经构建了IDM全流程自主可控业务体系,2020年10G、25G激光器芯片系列产品的出货量在国内均排名第一。

整体来看,25G光芯片的国产化率大约只有20%左右,25G以上的光芯片国产化率还不到5%,所以越往金字塔尖走,能看到自主品牌的玩家就越少。但换个方向想,这也是未来国产替代的主战场。

所以,据专业机构的报告,预计2027年CPO整体市场收入将达到54亿美元,上游的CPO光学组件将在三年后超过13亿美元。CPO配套硅光有望在未来2-3年快速放量。

AI

共封装光学器件 (CPO):现状、挑战和解决方案

作者:谭敏1,2·姜旭3,4,5·刘思阳6  ·冯俊博6  ·张华7  ·姚朝南7  ·陈世熙3  ·郭航宇8  ·韩更始8  ·温占豪8 · 鲍辰8·余贺8·郑旭强8  ·大明1·涂耀文1  ·付强1 · 南琦9  ·李丹10·李庚10·宋文8  ·杨凤和11·何慧敏8  ·刘凤满8  ·薛海云8  ·王宇航1  ·邱慈元12·米光灿13·李彦博13·天海常13·赖鸣彻14·张罗14·郝沁芬15·秦梦远15

摘要:5G、物联网、人工智能和高性能计算应用的兴起,数据中心流量以近30%的年复合增长率增长。此外,近四分之三的数据中心流量驻留在数据中心内。

传统的可插拔光学器件的增长速度比数据中心流量的增长速度慢得多。应用要求与传统可插拔光学器件的能力之间的差距不断扩大,这是一种

不可持续的趋势。光学协同封装(CPO)  是一种颠覆性的方法,通过先进的封装以及电子和光子学的协同优化显着缩短电气链路长度,从而提高互连带宽密度和能源效率。  CPO被广泛认为是未来数据中心互连的有前途的解决方案,而硅平台是最有希望实现大规模集成的平台。领先的国际公司(如  Intel、Broadcom  和  IBM)对 C PO  技术进行了大量研究,这是一个涉及光子器件、集成电路设计、封装、光子器件建模、电子光子协同仿真、应用程序和应用程序的跨学科研究领域。标准化。

1简 介

共同封装光学器件(CPO)的重要性。随着人工智能和高性能计算(HPC)  等数据密集型应用的扩展,数据中心流量不断增长。传统的可插拔光学器件无法满足快速增长的带宽密度和能效要求。共封装光学器件 ( CPO)  结合了光子器件通过先进封装与高性能电子器件形成解决方案,可显着缩短  SerDes 距 离,从而大大降低功耗。

目标和组织。本文的主要目的是概述  CPO  的最新进展,并确定主要挑战及其潜在解决方案。值得注意的是,对于这样一个快速发展的领域,本文的内容绝非详尽无遗。为了向读者提供全面的概述,我们将本文分为十二个独立的部分。在这里,我们简要概述了这些部分。 2.器件制造。需要为  CPO  开发先进的制造工艺和器件结构。以  3D  集成  CPO  的形式,硅光子芯片用作中介层,以实现更短的走线和更低的功耗。此外,标准硅光子制造技术必须与封装开发相配合。

3.外 部激光源。分析了对激光芯片的需求。事实证明,高功率激光器和  TEC  是主要贡献者。提出了降低激光功耗的潜在解决方案。

4.光功率传输。在最近的提案中,光功率传输系统经常被过度简化甚至被忽略。本节试图从三个方面解决光功率传输中的基本问题,具体而言,功率需求如何增长、需要哪些技术以及主要挑战是什么。

5.C PO  的DSP。  DSP芯片在CPO中起着重要的作用。本节总结了主机端和线路端链路的电气要求,并提供了 D SP  设计注意事项,包括收发器架构、时钟方案和均衡实现。

6.用于  CPO 的基于微环的发射器阵列。微环形调制器具有面积小、功率效率高、兼容波分复用等优点,是CPO的有希望的候选者。然而,它面临许多挑战,例如波长控制和偏振灵敏度。本节总结了基于微环的收发器阵列的挑战和最新进展,并提供了应对这些挑战的建议。

7.基于  Mach–Zehnder  调制器(MZM)的  CPO 发 射器。  MZM  已经商业化,是替代现有可插拔光学模块的有前途的解决方案。然而,MZM 驱 动器设计在电压摆幅、带宽、能效和其他方面提出了许多挑战。本节概述  MZM 发 射器,重点介绍其驱动器设计。

8.CPO  的光接收器前端。与BiC M OS相比,基于CMOS的光接收器在集成度、功率效率和成本方面更兼容CPO。本节将提供基于  CMOS  的光接收器前端电子 I C  设计的最新进展,有望为 C PO  的未来全集成电子 I C  铺平道路。

9.C PO  的2.5D  和3D  封装。  2.5D、3D封装技术可为CPO实现高带宽、高集成度和低功耗。本节主要讨论IMECAS研发的2D/2.5D/3D 硅光子共封装模组,2D  MCM光子模组。封装问题,以及硅光子晶圆级封装的挑战。

10.CPO 的电子‑光子联合仿真。电子‑光子协同仿真是大规模电子‑光子协同设计的先决条件。然而,这个领域相对不成熟,面临着许多方法和工程方面的挑战。主流方法是将光子器件集成到电子设计⾃动化平台中。本节主要讨论光子器件建模、时域仿真和频域仿真的挑战和解决方案。

11.HPC 光子互连的系统考虑。本节将光子互连链路分解为硬件和软件组件,相应地讨论它们的当前状态、挑战,以及它们如何影响光子链路和网络的完整性。最后,本节评论了  HPC  网络光子互连未来的下一个里程碑。

12.HPC中的光电混合接口。出于兼容性方面的考虑,HPC 一 直不愿转向新技术。迄今为止,光电混合集成未能真正发挥集成优势。本节分析了  CPO  的不同互连设计考虑因素,并为加速 C PO 在 H PC  中的适配提供了建议。

13.CPO  开发和标准化。中国计算机互连技术联盟  (CCITA) 协 调学术界和工业界的努力,启动了中国  CPO  标准化工作。本节概述了中国原棕油标准化工作的技术和经济考虑。

2先 进的硅光子制造CPO技术

2.1现状,光学共封装  (CPO)  是一种先进的光电器件封装技术,涉及系统架构、芯片制造和封装的升级。在本节中,我们将主要讨论用于  CPO 应 用的硅光子芯片的制造技术。摩尔定律是微电子芯片制造中众所周知的现象。在过去的几十年里,每个芯片的晶体管数量每两年翻一番。同样,号称受益于硅光子学的从现有和成熟的互补金属氧化物半导体  (CMOS) 制 造技术来看,也应该遵循这种缩放趋势,并通过规模经济实现光子集成电路  (PIC) 的 低成本制造  [25] 。然而,与电子设备不同,光子设备的缩放在本质上是困难的。光子器件的尺寸主要由材料的折射率对比决定。硅光子器件的全球尺寸仍停留在微米级,很少会缩小到纳米级。因此,当我们谈论硅光子学的缩放时,我们是在谈论先进的制造技术如何实现光子封装的缩放。

2.2当前和未来的挑战台积电、Global Foundry、Tow  erJazz、中芯国际等纯晶圆代工厂和IMEC、AMF、AIM、CUMEC等开放式中试线正在提供硅光PDK,包括无源和有源器件的基础组件库,如如图  1  所示。虽然  CPO 应 用需要定制结构,但 C PO  芯片的主要制造挑战来⾃光纤耦合和光源集成。极高密度的光学  I/O  需要高效的光纤耦合结构。耦合结构有两种,光栅耦合器和边缘耦合器。光栅耦合器通常通过简单的两步蚀刻工艺制造,从而实现垂直光耦合。光栅耦合器具有相对较宽的对准公差、较小的光学带宽和较高的偏振灵敏度。因此,与边缘耦合器不同,光栅耦合器通常用于晶圆级测试而不是商业产品。边缘耦合器可实现较小的耦合损耗和较大的光带宽,这对于实际应用来说是理想的。

然而,边缘耦合器在制造过程中需要底切和深蚀刻工艺,从而导致器件稳定性和可靠性方面的问题。此外,开发了V 形槽结构用于光纤边缘耦合的被动对准[26]。

片上光源集成是硅光子学的主要挑战之一。硅基材料本身就很难形成高性能激光器。III‑V族化合物材料在硅光子芯片上的异质集成或异质结构集成被证明是一种可行的方法,但硅光子制造工艺需要进行重大调整。

未来,从2 .5D  CPO 到  3 D  CPO,CPO  技术将不仅仅是一种封装工艺,而是一种制造和封装的结合,需要设计和工艺的共同优化。封装概念需要与制造工艺流程深度融合。

AI

图1CUMEC硅光子PDK原理图

2.3科 技进步迎接挑战在大多数当前的CPO  解决方案中,边缘耦合器用于光入和光出路径。边缘耦合器经过精心设计,可同时满足高对准公差和低插入损耗的需求。通过使用  V  型槽结构的无源对准,典型的光纤到芯片损耗可以控制在 -1.5  dB  以内[27]。使用热移相器等结构有助于进一步提高对准容差

[28]。硅光子收发器是高速开关组件CPO 系统的重要组成部分,其中多个收发器模块紧靠开关  ASIC 排 列。如图  2  所示,中央交换机  ASIC  被成百上千个混合有保偏  (PM)  光纤和非保偏光纤的光纤所包围,这对光纤布线和封装的一致性和质量提出了相当大的挑战。采用高阶调制技术,片上光源集成,减少光纤数量,降低光纤封装难度。

此外,波分复用方案或TeraPHY [ 29]可能是解决更大数据流的另一种解决方案。

片上光源集成方法包括异质结构集成(例如激光二极管倒装芯片键合)和异源集成(例如,晶圆级材料键合)(图3)。

对于倒装芯片接合方法,商用激光二极管通过共晶焊接接合在硅光子芯片上。

机械挡块和基准标记用于激光芯片和硅光子芯片之间的高精度被动对准[33]。该方法利用成熟的激光二极管产品简化开发和快速商业化。对于晶圆级材料键合方法,激光器是在硅光子芯片制造过程中一起形成的

[31‑33]。III‑V材料和硅波导之间的模式转换器需要在生产线的前端进行工艺修改。激光电极制造导致后端工艺改变线。总体而言,硅光子生产线需要大规模改造以实现异质集成。对于这两种方法,未来在  CPO 中 的应用都需要考虑散热和应变引起的性能退化。

AI

图2用于光输入的保偏光纤和用于光输出的非保偏光纤的混合封装

AI

图3a  Heterostructure  integration  [30]和b  heterogeneous  integration  [33]  of  on‑chip l ight  source

AI

 

图4使用具有  TSV 结构的硅光子中介层的混合组装光学模块[10]。b 硅光子中介层上的 T SV  制造工艺[35]

以3 D  集成 CPO 的 形式,硅光子芯片用作中介层,可缩短电路连接并降低功耗。最近,imec 展 示了一种嵌入硅通孔  (TSV)  结构的混合组装光学模块,射频带宽达到  110  GHz 以 上,为下一代以 1 00Gbaud  数据速率运行的硅光子模块铺平了道路,如图  1 所 示。  4  [34]。在硅光子芯片上制造  TSV  需要额外的工艺,包括高纵横比博世深蚀刻和晶圆减薄,这会在产量和可靠性方面引发潜在问题  [35] 。

2.4结 束语顺应集成化趋势,标准硅光子制造技术必须适应封装的发展。为了满足CPO的要求,需要为硅光子学开发先进的制造工艺和器件结构。  CPO 应用程序设计人员与代工厂密切合作以实现设计流程协同优化会更加高效。

3用 于共同封装光学器件的外部激光源

3.1现状激光源是协同封装光学器件  (CPO)  的使能技术之一。在基于硅光子学的光学引擎的背景下,正在讨论和开发两种类型的激光源,即片上激光器和外部激光器。每种方法都有其优点和缺点。本期侧重于外部激光源  (ELS)  的选项,这主要是由于其更广泛的行业可及性。据信,当数据中心网络 ( DCN)  应用的交换容量达到  102.4 T bit/s 时,光连接将更有可能演变成  CPO 形 式。1 02.4  Tbit/s 交 换机需要具有 6 .4 T bit/s  光输入/输出容量的  CPO t ile,如图  5  所示。

AI

图5  102.4  T  共封装光学器件配置

6.4T bit/s C PO  tile  的实现方法仍在讨论中,例如每通道数据速率和并行或  WDM 架 构。为便于讨论,假设6.4  Tbit/s  CPO t ile由8组800  Gbit/s c ell组成,由4×200Gbit/s  FR4  配置。每个  6.4 Tbit/s C PO  块都需要一个  ELS。如图5 所示,每个ELS封装由两组CWDM4激光器组成,即总共8个激光器。每个激光芯片通过使用  1×4  分离器为多达四个  800 G bit/s  电池供电。

3.2当前和未来的挑战输出功率和功耗是 ELS 的 关键特性。E LS的输出功率需求可以从光引擎的链路预算分析中推导出来。

表1显示了输出功率的链路预算分析。根据 800G  FR4 [ 72]的规范,假设光引擎所需的最小输出功率(在  TP2)为  0.2 d Bm 。硅光子芯片的总插入损耗为 21.6 d B,如表  1 所 示。因此,ELS 封 装所需的最小输出功率为  21.8  dBm。在考虑激光器与光纤的耦合损耗并留有余量的情况下,要求激光器芯片的输出功率为24.5dBm。

AI

表1 .光学引擎的链路预算分析

AI

表2E LS封装的总功耗

ELS封装的功耗是另一个关键参数。表2 显示,用于  6.4 T bit/s  CPO 的   ELS  封装的总功耗约为  18 W。激光芯片和热电冷却器占总功耗的近 7 0%。

激光芯片的高输出功率是造成大部分功耗的根本原因。激光芯片的电光转换效率对功耗至关重要,定义为光输出功率与消耗的电输入功率之比。墙插效率表2 中 使用的功率约为  0.3,这意味着只有 3 0%  的电输入功率可以转换为光输出功率,而剩余的功率则以热量的形式耗散掉。此外,热电冷却器  (TEC)  消耗额外的电能来散发激光芯片产生的热量。  102.4Tbit/s交换机所需的16个ELS的总功耗为288W。

OIF[73]正在对 E LS 的 外形规格进行标准化,包括电气和光学接口、封装、管理接口等。

3.3科技进步迎接挑战1、输出功率大。现有的为可插拔收发器开发的CW激光器不能满足CPO应用的高输出功率要求。基于硅光子学的可插拔光收发器,例如  400G  DR4,通常需要输出功率小于 100  mW 的   CW  激光器。相比之下,CPO 应 用需要更高的 CW  激光器输出功率。如表  1  所示,所需的输出

AI

图6高功率激光器性能

对于WDM  架构,激光芯片的功率为 2 86  mW。尽管  DR  架构的输出功率要低得多,但至少仍需要 1 00  mW。对于工业应用,已报道了 C /C+ 波 段的平板耦合光波导  DFB  激光二极管,其无扭结 C W  输出功率超过  100 m W  [74]。然而,在  O 波 段,我们开发了一种  1310  nm  CW  激光器,它在 50°C 时 只能达到 8 0  mW,如图 6   所示。据报道,CWDM4  激光器的输出功率仅为  70  mW 左右 [ 75 ] 。因此,需要开发用于  CPO  应用的高功率激光器。

2.插墙效率高。除了高输出功率外,从能量效率的角度来看,高电光转换效率是高功率  CW  激光器的另一个理想特性。此外,热效率高的TEC有助于降低ELS功耗。此外,非制冷高功率激光器可能是  CPO  光源的最终解决方案。

3.单 片集成。硅光子平台工艺已经很成熟,并且比  CMOS  和  BiCMOS平 台具有更高的整体传输和接收性能,但激光集成仍然是所有硅平台和活跃研究主题的挑战。在Si  平台上单片集成  III‑V 激 光器之前的主要挑战是由于材料差异导致的器件性能受损。最近,单片生长在  Si 衬 底上的 III‑V  QD  激光器已经展示了非常有前途的结果,具有长寿命、高输出功率和低阈值电流密度。然而,为了在  SOI 平 台上实现  QD  激光器的单片集成应用,必须解决与波导的光耦合问题。相比之下,基于 I nP  的平台可以轻松集成活性材料,依赖更强的电光  (EO) 克 尔和普克尔斯效应,并实现更高的  EO  带宽效率指标。可以实现  EO  效应的进一步增强,与量子阱中的量子限制斯塔克效应,但以更高的温度和波长依赖性为代价。

外部激光源是  CPO 光 源的有前途的解决方案

由于其易于维护和广泛的可及性,外部激光源是  CPO 光 源的有前途的解决方案。  OIF  中的  ELS  标准化正在进行中,将加速该技术的成熟。需要具有至少 1 00mW 输出功率的高功率  CW  激光器来满足链路预算要求。  CW  激光器的电光转换效率需要进一步提高以达到节能目的。外部激光源的单片集成得益于更小的寄生电容和更低的封装成本,使其成为在硅芯片上实现可靠、节能、高密度激光二极管集成的最有前途的解决方案。

编辑:黄飞

 

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分