×

FPGA视频教程之MAX.II的UFM模块使用实例详细资料说明

消耗积分:0 | 格式:rar | 大小:0.00 MB | 2019-03-05

分享资料个

  MAX I1的UFM模块使用实例

  查看MAX II器件的Chip Planner 如图所示。其左下角这块黑色区域是用户不可用资源区,而在这片不可用区域里有一块绿色的方块是可用的。这块不可用的黑色区域叫做CFMblock(配置Flash存储区),而那个绿色方块叫做UFM(用户可用的Flash存储区)。

  也就是说,MAX II其实是内嵌了一块8Kbit的Flash。这个Flash原则上是不占用MAX II的其它可用逻辑资源的,前提是用户读写这块存储区使用altera本身的串行接口(遵循特定的通信协议)。但是这个协议比较繁琐,因此,对于这块存储区读写接口altera提供了四种通用的接口(包括本身的串行接口)供用户选择。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !