×

如何使用FPGA实现高性能程控数字移相器的设计

消耗积分:2 | 格式:pdf | 大小:1.56 MB | 2020-05-15

8402

分享资料个

  数字移相器是利用锁相环,将输出信号频率设置为输入信号频率的 360 倍,通过数值比较器、计数器进行移相角选择输入,通过 D 触发器、电子开关和门电路等进行四个象限选择,可实现 0- 360 °范围内任意度数移相,最小步进为 1°。本文基于 FPGA 和单片机技术设计了程控数字移相器。调试和运行结果表明系统结构更加简单,与传统移相器比较,设计的系统性能指有明显的改善。设计结果达到了预期的设计要求,实现了最小 1°步进,0 - 360 °范围内任意角度移相的功能。

  移相器是用来调节线路功率的重要手段,也是移动、卫星通信及相控阵雷达设备中的核心组件。在微波测量系统以及通讯和相控阵雷达方面广泛应用。随着社会的发展,科研及教学等领域对数字移相器的需求不断提升,在尖端科技领域、工业生产以及日常生活中数字移相器的应用较为广泛,如导弹姿态控制、雷达、加速器、通信、仪表仪器,甚至于音乐等领域都有着较为广泛的应用[1-5]。故设计一款性价比高、性能稳定、操作灵活简便的数字移相器就很有必要。本文基于 FPGA 技术和单片机技术设计了一款高性能的程控数字移相器,完成了系统硬件电路和软件设计。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !