×

使用FPGA实现乒乓球游戏的论文说明

消耗积分:0 | 格式:rar | 大小:0.19 MB | 2020-11-18

分享资料个

  1. 设计的任务与要求

  设计一个基于FPGA 的乒乓球游戏,学习使用FPGA 器件完成具有工程背景的应用设计

  1.1 设计指标

  ball 是模拟乒乓球行进路径的发光管亮灯控制模块,在游戏中,以一排发光管交替发光指示乒乓球的行进路径,其行进的速度可由输入的时钟信号clk控制; board 是乒乓板接球控制模块, 即当发光管亮到最后一个的瞬间, 若检测到对应的表示球拍的键的信号,立即将“球”反向运行,如果此瞬间没有接到键信号,将给出出错鸣叫,

  同时为对方记1 分,并将记分显示出来; cou4 和cou10 分别是失球计数器的高低位计数模块;mway 是乒乓球行进方向控制模块,主要由发球键控制; sound是失球提示发声模块。

  1.2 设计要求

  1. 画出电路原理图(或仿真电路图) ;

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(1)
发评论
dadhu 2020-11-24
0 回复 举报
很不错的资料 收起回复

下载排行榜

全部1条评论

快来发表一下你的评论吧 !